Started by timer Running as SYSTEM Building remotely on build4-deb12build-ansible (ttcn3 obs ttcn3_with_linux_6.1_or_higher qemu io_uring osmocom-gerrit coverity osmocom-master) in workspace /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan The recommended git tool is: NONE No credentials specified Wiping out workspace first. Cloning the remote Git repository Cloning repository https://gerrit.osmocom.org/docker-playground > git init /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan # timeout=10 Fetching upstream changes from https://gerrit.osmocom.org/docker-playground > git --version # timeout=10 > git --version # 'git version 2.39.2' > git fetch --tags --force --progress -- https://gerrit.osmocom.org/docker-playground +refs/heads/*:refs/remotes/origin/* # timeout=10 > git config remote.origin.url https://gerrit.osmocom.org/docker-playground # timeout=10 > git config --add remote.origin.fetch +refs/heads/*:refs/remotes/origin/* # timeout=10 Avoid second fetch Seen branch in repository origin/arehbein/devtests Seen branch in repository origin/arehbein/devtests%topic=fixes Seen branch in repository origin/daniel/bscnat_tests Seen branch in repository origin/daniel/training Seen branch in repository origin/daniel/wip Seen branch in repository origin/fixeria/confmerge Seen branch in repository origin/fixeria/sccplite Seen branch in repository origin/fixeria/testing Seen branch in repository origin/jolly/testing Seen branch in repository origin/laforge/ergw Seen branch in repository origin/laforge/fr Seen branch in repository origin/laforge/ns Seen branch in repository origin/laforge/podman Seen branch in repository origin/lynxis/gerrit-comment-ci Seen branch in repository origin/master Seen branch in repository origin/neels/hnbgw-pfcp Seen branch in repository origin/neels/wip Seen branch in repository origin/osmith/fix-registry-pull Seen branch in repository origin/osmith/fix-rpi-gnutls Seen branch in repository origin/osmith/obs-2021q1 Seen branch in repository origin/osmith/rpm-local Seen branch in repository origin/osmith/ttcn3-pass-args Seen branch in repository origin/osmith/wip Seen branch in repository origin/osmith/wip-4g-only Seen branch in repository origin/osmith/wip-asan Seen branch in repository origin/pespin/asterisk Seen branch in repository origin/pespin/bts-perf Seen branch in repository origin/pespin/ergw Seen branch in repository origin/pespin/gtp1 Seen branch in repository origin/pespin/master Seen branch in repository origin/pmaier/pcuif Seen branch in repository origin/refsf/for/master/dyn-pdch Seen 32 remote branches > git show-ref --tags -d # timeout=10 Checking out Revision 7be93fc721de12b6ff0b47fd346848e5107c68ee (origin/master) > git config core.sparsecheckout # timeout=10 > git checkout -f 7be93fc721de12b6ff0b47fd346848e5107c68ee # timeout=10 Commit message: "ttcn3-s1gw-test: start osmo-s1gw container with --user=root" > git rev-list --no-walk 7be93fc721de12b6ff0b47fd346848e5107c68ee # timeout=10 [ttcn3-bts-test-asan] $ /bin/sh -xe /tmp/jenkins15604463102148574648.sh + export REGISTRY_HOST=registry.osmocom.org + echo ttcn3-bts-test-asan + sed s/-asan$// + DIR=ttcn3-bts-test + export IMAGE_SUFFIX=asan + export OSMOCOM_REPO_VERSION=asan + cd ttcn3-bts-test + ./jenkins.sh + [ x = x ] + REPO_USER=osmocom-build + [ x/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan = x ] + VOL_BASE_DIR=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + mkdir -p /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs + [ ! -d /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs ] + [ xjenkins-ttcn3-bts-test-asan-15 = x ] + basename /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test + SUITE_NAME=ttcn3-bts-test + IMAGE_SUFFIX=asan + docker_images_require osmo-bsc-asan osmo-bts-asan osmocom-bb-host-master ttcn3-bts-test + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bsc-asan + local feed + echo debian-bookworm-obs-asan + depends=debian-bookworm-obs-asan + [ -n debian-bookworm-obs-asan ] + docker_images_require debian-bookworm-obs-asan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-asan + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-asan + echo debian-bookworm-obs-asan + dir=debian-bookworm-obs-asan + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-asan/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + set +x Building image: debian-bookworm-obs-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-asan BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-obs-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.38kB done #1 DONE 0.1s #2 [internal] load .dockerignore #2 transferring context: 2B done #2 DONE 0.1s #3 [auth] sharing credentials for registry.osmocom.org #3 DONE 0.0s #4 [internal] load metadata for registry.osmocom.org/debian:bookworm #4 DONE 0.1s #5 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12//Release #5 DONE 0.0s #6 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:76f6fbfbc51a0ddd5bf711bf722c2b51a0ca9736463c30a3ed30269695f5816f #6 resolve registry.osmocom.org/debian:bookworm@sha256:76f6fbfbc51a0ddd5bf711bf722c2b51a0ca9736463c30a3ed30269695f5816f 0.0s done #6 DONE 0.0s #7 [internal] load build context #7 transferring context: 1.96kB done #7 DONE 0.0s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-nightly-asan.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-nightly-asan.asc] https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly-asan.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY:/ASAN/DEBIAN_12//RELEASE /tmp/Release #12 CACHED #13 exporting to image #13 exporting layers done #13 writing image sha256:3522e4672b5372395b282de1e8cfb514cd459331b8c5b54d7c0ff66954d5f5da 0.0s done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-asan:latest 0.0s done #13 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' + docker_image_exists debian-bookworm-obs-asan + docker images -q osmocom-build/debian-bookworm-obs-asan + test -n 3522e4672b53 + list_osmo_packages debian-bookworm debian-bookworm-obs-asan + local distro=debian-bookworm + local image=debian-bookworm-obs-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-asan -c + [ -n ] + return + docker_distro_from_image_name osmo-bsc-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bsc-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bsc-asan + echo osmo-bsc-asan + sed s/-asan/-latest/ + dir=osmo-bsc-latest + pull_arg=--pull + grep ^FROM ../osmo-bsc-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bsc-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bsc-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bsc-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bsc-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bsc-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load .dockerignore #1 transferring context: 2B done #1 DONE 0.0s #2 [internal] load build definition from Dockerfile #2 transferring dockerfile: 659B done #2 DONE 0.0s #3 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-asan:latest #3 DONE 0.0s #4 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-asan #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 8.74kB done #5 DONE 0.0s #6 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bsc osmo-bsc-ipaccess-utils && apt-get clean ;; centos*) dnf install -y osmo-bsc osmo-bsc-ipaccess-utils ;; esac #6 CACHED #7 [3/5] WORKDIR /TMP #7 CACHED #8 [4/5] COPY OSMO-BSC.CFG /data/osmo-bsc.cfg #8 CACHED #9 [5/5] WORKDIR /DATA #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:5d92192f0b251502abc2236a4832c08d5fc68c09c99eb26f68e3c79a3399d27c 0.0s done #10 naming to docker.io/osmocom-build/osmo-bsc-asan:latest #10 naming to docker.io/osmocom-build/osmo-bsc-asan:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bsc-latest' + docker_image_exists osmo-bsc-asan + docker images -q osmocom-build/osmo-bsc-asan + test -n 5d92192f0b25 + list_osmo_packages debian-bookworm osmo-bsc-asan + local distro=debian-bookworm + local image=osmo-bsc-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bsc-asan -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bsc-asan ### ii libosmo-mgcp-client12:amd64 1.12.1.52.2314.202406232029~asan amd64 libosmo-mgcp-client: Osmocom's Media Gateway Control Protocol client utilities ii libosmo-sigtran9:amd64 1.8.0.67.fb30.202406232029~asan amd64 Osmocom SIGTRAN library (SCCP, SUA, M3UA and more) ii libosmoabis13:amd64 1.5.1.24.eb91.202406232029~asan amd64 GSM A-bis handling ii libosmocore21:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo Core library ii libosmoctrl0:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo control library ii libosmogsm20:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.4.0.57.481f.202406232029~asan amd64 Common/shared code regarding network interface for OpenBSC ii libosmovty13:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo VTY library ii osmo-bsc 1.11.0.91.d8203.202406232029~asan amd64 OsmoBSC: Osmocom's Base Station Controller for 2G circuit-switched mobile networks ii osmo-bsc-ipaccess-utils 1.11.0.91.d8203.202406232029~asan amd64 Command line utilities for ip.access nanoBTS ii osmocom-nightly-asan 202406232029 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmo-bts-asan + local feed + echo debian-bookworm-obs-asan + depends=debian-bookworm-obs-asan + [ -n debian-bookworm-obs-asan ] + docker_images_require debian-bookworm-obs-asan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends debian-bookworm-obs-asan + local feed + depends= + [ -n ] + docker_distro_from_image_name debian-bookworm-obs-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name debian-bookworm-obs-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name debian-bookworm-obs-asan + echo debian-bookworm-obs-asan + dir=debian-bookworm-obs-asan + pull_arg=--pull + grep ^FROM ../debian-bookworm-obs-asan/Dockerfile + from_line=FROM ${REGISTRY}/${UPSTREAM_DISTRO} + grep -q $USER + echo FROM ${REGISTRY}/${UPSTREAM_DISTRO} + set +x Building image: debian-bookworm-obs-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../debian-bookworm-obs-asan BUILD_ARGS=--pull UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/debian-bookworm-obs-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ --pull -t osmocom-build/debian-bookworm-obs-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 1.38kB done #1 DONE 0.0s #2 [internal] load .dockerignore #2 transferring context: 2B done #2 DONE 0.0s #3 [auth] sharing credentials for registry.osmocom.org #3 DONE 0.0s #4 [internal] load metadata for registry.osmocom.org/debian:bookworm #4 DONE 0.0s #5 [internal] load build context #5 DONE 0.0s #6 https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12//Release #6 DONE 0.0s #7 [1/6] FROM registry.osmocom.org/debian:bookworm@sha256:76f6fbfbc51a0ddd5bf711bf722c2b51a0ca9736463c30a3ed30269695f5816f #7 resolve registry.osmocom.org/debian:bookworm@sha256:76f6fbfbc51a0ddd5bf711bf722c2b51a0ca9736463c30a3ed30269695f5816f 0.0s done #7 DONE 0.0s #5 [internal] load build context #5 transferring context: 1.96kB done #5 DONE 0.0s #8 [2/6] COPY .COMMON/RESPAWN.SH /usr/local/bin/respawn.sh #8 CACHED #9 [3/6] COPY .COMMON/RELEASE.KEY /usr/share/keyrings/osmocom-nightly-asan.asc #9 CACHED #10 [4/6] RUN SET -x && useradd --uid=1000 -d /build -m build && chown -R build:build /usr/local && echo "path-exclude=/usr/share/man/*" > /etc/dpkg/dpkg.cfg.d/exclude-man-pages && rm -rf /usr/share/man/ #10 CACHED #11 [5/6] RUN SET -x && apt-get update && apt-get install -y --no-install-recommends ca-certificates telnet && apt-get clean && echo "deb [signed-by=/usr/share/keyrings/osmocom-nightly-asan.asc] https://downloads.osmocom.org/packages/osmocom:/nightly:/asan/Debian_12/ ./" > /etc/apt/sources.list.d/osmocom-nightly-asan.list #11 CACHED #12 [6/6] ADD HTTPS://DOWNLOADS.OSMOCOM.ORG/PACKAGES/OSMOCOM:/NIGHTLY:/ASAN/DEBIAN_12//RELEASE /tmp/Release #12 CACHED #13 exporting to image #13 exporting layers done #13 writing image sha256:3522e4672b5372395b282de1e8cfb514cd459331b8c5b54d7c0ff66954d5f5da done #13 naming to docker.io/osmocom-build/debian-bookworm-obs-asan:latest done #13 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/debian-bookworm-obs-asan' + docker_image_exists debian-bookworm-obs-asan + docker images -q osmocom-build/debian-bookworm-obs-asan + test -n 3522e4672b53 + list_osmo_packages debian-bookworm debian-bookworm-obs-asan + local distro=debian-bookworm + local image=debian-bookworm-obs-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/debian-bookworm-obs-asan -c + [ -n ] + return + docker_distro_from_image_name osmo-bts-asan + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmo-bts-asan + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmo-bts-asan + echo osmo-bts-asan + sed s/-asan/-latest/ + dir=osmo-bts-latest + pull_arg=--pull + grep ^FROM ../osmo-bts-latest/Dockerfile + from_line=FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + echo FROM $USER/$DISTRO-obs-$OSMOCOM_REPO_VERSION + grep -q $USER + pull_arg= + set +x Building image: osmo-bts-asan (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmo-bts-latest BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmo-bts-asan OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bts-latest' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmo-bts-asan:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 751B done #1 DONE 0.0s #2 [internal] load .dockerignore #2 transferring context: 2B done #2 DONE 0.1s #3 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-obs-asan:latest #3 DONE 0.0s #4 [1/5] FROM docker.io/osmocom-build/debian-bookworm-obs-asan #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 1.63kB done #5 DONE 0.0s #6 [3/5] WORKDIR /TMP #6 CACHED #7 [4/5] COPY OSMO-BTS.CFG /data/osmo-bts.cfg #7 CACHED #8 [2/5] RUN CASE "debian-bookworm" in debian*) apt-get update && apt-get install -y --no-install-recommends osmo-bts-trx osmo-bts-virtual && apt-get clean ;; centos*) dnf install -y osmo-bts osmo-bts-virtual osmo-bts-omldummy ;; esac #8 CACHED #9 [5/5] WORKDIR /DATA #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:9bb3488b00dff91116f544d3a2d19baa2379e3565d7e095a7a24830110b011e9 #10 writing image sha256:9bb3488b00dff91116f544d3a2d19baa2379e3565d7e095a7a24830110b011e9 done #10 naming to docker.io/osmocom-build/osmo-bts-asan:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmo-bts-latest' + docker_image_exists osmo-bts-asan + docker images -q osmocom-build/osmo-bts-asan + test -n 9bb3488b00df + list_osmo_packages debian-bookworm osmo-bts-asan + local distro=debian-bookworm + local image=osmo-bts-asan + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmo-bts-asan -c + [ -n ] + set +x ### Installed Osmocom packages in: osmo-bts-asan ### ii libosmoabis13:amd64 1.5.1.24.eb91.202406232029~asan amd64 GSM A-bis handling ii libosmocodec4:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo codec library ii libosmocoding0:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo coding library ii libosmocore21:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo Core library ii libosmoctrl0:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo control library ii libosmogsm20:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo GSM utility library ii libosmoisdn0:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo ISDN utility library ii libosmonetif11:amd64 1.4.0.57.481f.202406232029~asan amd64 Common/shared code regarding network interface for OpenBSC ii libosmotrau2:amd64 1.5.1.24.eb91.202406232029~asan amd64 GSM trau handling ii libosmovty13:amd64 1.9.0.209.e0c63.202406232029~asan amd64 Osmo VTY library ii osmo-bts-trx 1.7.0.68.5076f.202406232029~asan amd64 osmo-bts-trx GSM BTS with osmo-trx ii osmo-bts-virtual 1.7.0.68.5076f.202406232029~asan amd64 Virtual Osmocom GSM BTS (no RF hardware; GSMTAP/UDP) ii osmocom-nightly-asan 202406232029 amd64 Dummy package, conflicts with ['osmocom-2022q1', 'osmocom-2022q2', 'osmocom-2023q1', 'osmocom-latest', 'osmocom-master', 'osmocom-nightly'] + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends osmocom-bb-host-master + local feed + depends= + [ -n ] + docker_distro_from_image_name osmocom-bb-host-master + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name osmocom-bb-host-master + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name osmocom-bb-host-master + echo osmocom-bb-host-master + dir=osmocom-bb-host-master + pull_arg=--pull + grep ^FROM ../osmocom-bb-host-master/Dockerfile + from_line=FROM $USER/$DISTRO-build + echo FROM $USER/$DISTRO-build + grep -q $USER + pull_arg= + set +x Building image: osmocom-bb-host-master (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../osmocom-bb-host-master BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/osmocom-bb-host-master OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmocom-bb-host-master' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/osmocom-bb-host-master:latest . #0 building with "default" instance using docker driver #1 [internal] load .dockerignore #1 transferring context: 2B done #1 DONE 0.1s #2 [internal] load build definition from Dockerfile #2 transferring dockerfile: 1.00kB done #2 DONE 0.0s #3 [internal] load metadata for docker.io/osmocom-build/debian-bookworm-build:latest #3 DONE 0.0s #4 [ 1/10] FROM docker.io/osmocom-build/debian-bookworm-build #4 DONE 0.2s #5 https://gerrit.osmocom.org/plugins/gitiles/osmocom-bb/+/master?format=TEXT #5 CACHED #6 [ 2/10] RUN APT-GET update && apt-get install -y --no-install-recommends libosmocore-dev && apt-get clean #6 0.336 Hit:1 http://deb.debian.org/debian bookworm InRelease #6 0.336 Hit:2 http://deb.debian.org/debian bookworm-updates InRelease #6 0.336 Hit:3 http://deb.debian.org/debian-security bookworm-security InRelease #6 0.336 Hit:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ InRelease #6 0.717 Reading package lists... #6 0.966 Reading package lists... #6 1.213 Building dependency tree... #6 1.267 Reading state information... #6 1.331 The following additional packages will be installed: #6 1.331 libosmocodec4 libosmocoding0 libosmocore libosmocore21 libosmoctrl0 #6 1.331 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 libosmovty13 #6 1.331 osmocom-nightly #6 1.341 The following NEW packages will be installed: #6 1.341 libosmocodec4 libosmocoding0 libosmocore libosmocore-dev libosmocore21 #6 1.341 libosmoctrl0 libosmogb14 libosmogsm20 libosmoisdn0 libosmosim2 libosmousb0 #6 1.342 libosmovty13 osmocom-nightly #6 1.362 0 upgraded, 13 newly installed, 0 to remove and 0 not upgraded. #6 1.362 Need to get 1872 kB of archives. #6 1.362 After this operation, 6772 kB of additional disk space will be used. #6 1.362 Get:1 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ osmocom-nightly 202406232026 [1176 B] #6 1.365 Get:2 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore21 1.9.0.209.e0c63.202406232026 [164 kB] #6 1.368 Get:3 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocodec4 1.9.0.209.e0c63.202406232026 [45.9 kB] #6 1.369 Get:4 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoisdn0 1.9.0.209.e0c63.202406232026 [65.4 kB] #6 1.371 Get:5 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogsm20 1.9.0.209.e0c63.202406232026 [222 kB] #6 1.374 Get:6 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocoding0 1.9.0.209.e0c63.202406232026 [65.9 kB] #6 1.376 Get:7 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmovty13 1.9.0.209.e0c63.202406232026 [98.5 kB] #6 1.379 Get:8 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmogb14 1.9.0.209.e0c63.202406232026 [172 kB] #6 1.382 Get:9 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmoctrl0 1.9.0.209.e0c63.202406232026 [54.4 kB] #6 1.384 Get:10 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmosim2 1.9.0.209.e0c63.202406232026 [58.6 kB] #6 1.385 Get:11 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmousb0 1.9.0.209.e0c63.202406232026 [45.3 kB] #6 1.387 Get:12 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore 1.9.0.209.e0c63.202406232026 [38.6 kB] #6 1.388 Get:13 https://downloads.osmocom.org/packages/osmocom:/nightly/Debian_12 ./ libosmocore-dev 1.9.0.209.e0c63.202406232026 [840 kB] #6 1.519 debconf: delaying package configuration, since apt-utils is not installed #6 1.560 Fetched 1872 kB in 0s (30.6 MB/s) #6 1.622 Selecting previously unselected package osmocom-nightly. #6 1.622 (Reading database ... (Reading database ... 5% (Reading database ... 10% (Reading database ... 15% (Reading database ... 20% (Reading database ... 25% (Reading database ... 30% (Reading database ... 35% (Reading database ... 40% (Reading database ... 45% (Reading database ... 50% (Reading database ... 55% (Reading database ... 60% (Reading database ... 65% (Reading database ... 70% (Reading database ... 75% (Reading database ... 80% (Reading database ... 85% (Reading database ... 90% (Reading database ... 95% (Reading database ... 100% (Reading database ... 117415 files and directories currently installed.) #6 1.659 Preparing to unpack .../00-osmocom-nightly_202406232026_amd64.deb ... #6 1.678 Unpacking osmocom-nightly (202406232026) ... #6 1.810 Selecting previously unselected package libosmocore21:amd64. #6 1.828 Preparing to unpack .../01-libosmocore21_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 1.863 Unpacking libosmocore21:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.013 Selecting previously unselected package libosmocodec4:amd64. #6 2.021 Preparing to unpack .../02-libosmocodec4_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.039 Unpacking libosmocodec4:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.182 Selecting previously unselected package libosmoisdn0:amd64. #6 2.190 Preparing to unpack .../03-libosmoisdn0_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.207 Unpacking libosmoisdn0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.351 Selecting previously unselected package libosmogsm20:amd64. #6 2.369 Preparing to unpack .../04-libosmogsm20_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.387 Unpacking libosmogsm20:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.536 Selecting previously unselected package libosmocoding0:amd64. #6 2.544 Preparing to unpack .../05-libosmocoding0_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.561 Unpacking libosmocoding0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.688 Selecting previously unselected package libosmovty13:amd64. #6 2.694 Preparing to unpack .../06-libosmovty13_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.710 Unpacking libosmovty13:amd64 (1.9.0.209.e0c63.202406232026) ... #6 2.841 Selecting previously unselected package libosmogb14:amd64. #6 2.858 Preparing to unpack .../07-libosmogb14_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 2.877 Unpacking libosmogb14:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.027 Selecting previously unselected package libosmoctrl0:amd64. #6 3.035 Preparing to unpack .../08-libosmoctrl0_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 3.052 Unpacking libosmoctrl0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.194 Selecting previously unselected package libosmosim2:amd64. #6 3.202 Preparing to unpack .../09-libosmosim2_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 3.219 Unpacking libosmosim2:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.360 Selecting previously unselected package libosmousb0:amd64. #6 3.368 Preparing to unpack .../10-libosmousb0_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 3.383 Unpacking libosmousb0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.479 Selecting previously unselected package libosmocore. #6 3.496 Preparing to unpack .../11-libosmocore_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 3.513 Unpacking libosmocore (1.9.0.209.e0c63.202406232026) ... #6 3.636 Selecting previously unselected package libosmocore-dev:amd64. #6 3.654 Preparing to unpack .../12-libosmocore-dev_1.9.0.209.e0c63.202406232026_amd64.deb ... #6 3.671 Unpacking libosmocore-dev:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.861 Setting up osmocom-nightly (202406232026) ... #6 3.914 Setting up libosmocore21:amd64 (1.9.0.209.e0c63.202406232026) ... #6 3.974 Setting up libosmousb0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.029 Setting up libosmocodec4:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.082 Setting up libosmovty13:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.135 Setting up libosmoisdn0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.188 Setting up libosmogsm20:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.241 Setting up libosmoctrl0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.294 Setting up libosmogb14:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.346 Setting up libosmocoding0:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.398 Setting up libosmosim2:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.448 Setting up libosmocore (1.9.0.209.e0c63.202406232026) ... #6 4.498 Setting up libosmocore-dev:amd64 (1.9.0.209.e0c63.202406232026) ... #6 4.549 Processing triggers for libc-bin (2.36-9+deb12u7) ... #6 DONE 4.8s #7 [ 3/10] WORKDIR /TMP #7 DONE 0.1s #8 [ 4/10] RUN GIT clone https://gerrit.osmocom.org/osmocom-bb.git #8 0.305 Cloning into 'osmocom-bb'... #8 DONE 0.9s #9 [ 5/10] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMOCOM-BB/+/MASTER?FORMAT=TEXT /tmp/commit-osmocom-bb #9 DONE 0.1s #10 [ 6/10] RUN CD osmocom-bb && git fetch && git checkout master && (git symbolic-ref -q HEAD && git reset --hard origin/master || exit 1); git rev-parse --abbrev-ref HEAD && git rev-parse HEAD #10 0.379 Already on 'master' #10 0.379 Your branch is up to date with 'origin/master'. #10 0.380 refs/heads/master #10 0.384 HEAD is now at 04ea6f9c mobile: fix -Wmaybe-uninitialized in gsm48_rr_tx_meas_rep() #10 0.386 master #10 0.386 04ea6f9cab3d9d5120c77f88b500bad526564c0a #10 DONE 0.4s #11 [ 7/10] RUN CD osmocom-bb/src/host/trxcon && autoreconf -fi && ./configure && make "-j$(nproc)" install #11 2.973 libtoolize: putting auxiliary files in '.'. #11 2.973 libtoolize: copying file './ltmain.sh' #11 3.018 libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'. #11 3.018 libtoolize: copying file 'm4/libtool.m4' #11 3.070 libtoolize: copying file 'm4/ltoptions.m4' #11 3.125 libtoolize: copying file 'm4/ltsugar.m4' #11 3.181 libtoolize: copying file 'm4/ltversion.m4' #11 3.237 libtoolize: copying file 'm4/lt~obsolete.m4' #11 4.605 configure.ac:21: warning: The macro `AC_HEADER_STDC' is obsolete. #11 4.605 configure.ac:21: You should run autoupdate. #11 4.605 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #11 4.605 configure.ac:21: the top level #11 4.988 configure.ac:12: installing './compile' #11 4.992 configure.ac:24: installing './config.guess' #11 4.995 configure.ac:24: installing './config.sub' #11 4.998 configure.ac:3: installing './install-sh' #11 5.003 configure.ac:3: installing './missing' #11 5.064 src/Makefile.am: installing './depcomp' #11 5.111 checking for a BSD-compatible install... /usr/bin/install -c #11 5.117 checking whether build environment is sane... yes #11 5.126 checking for a race-free mkdir -p... /usr/bin/mkdir -p #11 5.129 checking for gawk... gawk #11 5.129 checking whether make sets $(MAKE)... yes #11 5.149 checking whether make supports nested variables... yes #11 5.164 checking whether make supports nested variables... (cached) yes #11 5.165 checking whether make sets $(MAKE)... (cached) yes #11 5.170 checking for gcc... gcc #11 5.236 checking whether the C compiler works... yes #11 5.275 checking for C compiler default output file name... a.out #11 5.275 checking for suffix of executables... #11 5.301 checking whether we are cross compiling... no #11 5.330 checking for suffix of object files... o #11 5.354 checking whether the compiler supports GNU C... yes #11 5.379 checking whether gcc accepts -g... yes #11 5.403 checking for gcc option to enable C11 features... none needed #11 5.434 checking whether gcc understands -c and -o together... yes #11 5.460 checking whether make supports the include directive... yes (GNU style) #11 5.474 checking dependency style of gcc... gcc3 #11 5.527 checking for pkg-config... /usr/bin/pkg-config #11 5.527 checking pkg-config is at least version 0.9.0... yes #11 5.529 checking for libosmocore... yes #11 5.535 checking for libosmocoding... yes #11 5.539 checking for libosmogsm... yes #11 5.542 checking for stdio.h... yes #11 5.554 checking for stdlib.h... yes #11 5.564 checking for string.h... yes #11 5.575 checking for inttypes.h... yes #11 5.591 checking for stdint.h... yes #11 5.602 checking for strings.h... yes #11 5.612 checking for sys/stat.h... yes #11 5.622 checking for sys/types.h... yes #11 5.633 checking for unistd.h... yes #11 5.645 checking for grep that handles long lines and -e... /usr/bin/grep #11 5.646 checking for egrep... /usr/bin/grep -E #11 5.650 checking build system type... x86_64-pc-linux-gnu #11 5.682 checking host system type... x86_64-pc-linux-gnu #11 5.682 checking how to print strings... printf #11 5.701 checking for a sed that does not truncate output... /usr/bin/sed #11 5.703 checking for fgrep... /usr/bin/grep -F #11 5.703 checking for ld used by gcc... /usr/bin/ld #11 5.706 checking if the linker (/usr/bin/ld) is GNU ld... yes #11 5.707 checking for BSD- or MS-compatible name lister (nm)... /usr/bin/nm -B #11 5.708 checking the name lister (/usr/bin/nm -B) interface... BSD nm #11 5.729 checking whether ln -s works... yes #11 5.730 checking the maximum length of command line arguments... 1572864 #11 5.746 checking how to convert x86_64-pc-linux-gnu file names to x86_64-pc-linux-gnu format... func_convert_file_noop #11 5.746 checking how to convert x86_64-pc-linux-gnu file names to toolchain format... func_convert_file_noop #11 5.747 checking for /usr/bin/ld option to reload object files... -r #11 5.747 checking for file... file #11 5.748 checking for objdump... objdump #11 5.749 checking how to recognize dependent libraries... pass_all #11 5.750 checking for dlltool... no #11 5.751 checking how to associate runtime and link libraries... printf %s\n #11 5.751 checking for ar... ar #11 5.752 checking for archiver @FILE support... @ #11 5.789 checking for strip... strip #11 5.790 checking for ranlib... ranlib #11 5.791 checking command to parse /usr/bin/nm -B output from gcc object... ok #11 5.884 checking for sysroot... no #11 5.884 checking for a working dd... /usr/bin/dd #11 5.887 checking how to truncate binary pipes... /usr/bin/dd bs=4096 count=1 #11 5.909 checking for mt... no #11 5.909 checking if : is a manifest tool... no #11 5.919 checking for dlfcn.h... yes #11 5.953 checking for objdir... .libs #11 6.005 checking if gcc supports -fno-rtti -fno-exceptions... no #11 6.015 checking for gcc option to produce PIC... -fPIC -DPIC #11 6.015 checking if gcc PIC flag -fPIC -DPIC works... yes #11 6.030 checking if gcc static flag -static works... yes #11 6.087 checking if gcc supports -c -o file.o... yes #11 6.101 checking if gcc supports -c -o file.o... (cached) yes #11 6.101 checking whether the gcc linker (/usr/bin/ld -m elf_x86_64) supports shared libraries... yes #11 6.112 checking whether -lc should be explicitly linked in... no #11 6.161 checking dynamic linker characteristics... GNU/Linux ld.so #11 6.239 checking how to hardcode library paths into programs... immediate #11 6.239 checking whether stripping libraries is possible... yes #11 6.241 checking if libtool supports shared libraries... yes #11 6.241 checking whether to build shared libraries... yes #11 6.241 checking whether to build static libraries... yes #11 6.241 CFLAGS=" -std=gnu11" #11 6.241 CPPFLAGS="" #11 6.272 checking that generated files are newer than configure... done #11 6.274 configure: creating ./config.status #11 7.360 config.status: creating include/Makefile #11 7.399 config.status: creating include/osmocom/Makefile #11 7.438 config.status: creating include/osmocom/bb/Makefile #11 7.477 config.status: creating include/osmocom/bb/l1sched/Makefile #11 7.517 config.status: creating include/osmocom/bb/trxcon/Makefile #11 7.557 config.status: creating src/Makefile #11 7.597 config.status: creating Makefile #11 7.627 config.status: executing depfiles commands #11 7.758 config.status: executing libtool commands #11 7.836 echo 0.0.0 > .version-t && mv .version-t .version #11 7.841 make install-recursive #11 7.847 make[1]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 7.856 Making install in include #11 7.860 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.869 Making install in osmocom #11 7.874 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.882 Making install in bb #11 7.887 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.896 Making install in l1sched #11 7.901 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 7.907 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 7.907 make[6]: Nothing to be done for 'install-exec-am'. #11 7.907 make[6]: Nothing to be done for 'install-data-am'. #11 7.907 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 7.907 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/l1sched' #11 7.907 Making install in trxcon #11 7.912 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 7.918 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 7.918 make[6]: Nothing to be done for 'install-exec-am'. #11 7.918 make[6]: Nothing to be done for 'install-data-am'. #11 7.918 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 7.919 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb/trxcon' #11 7.924 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.930 make[6]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.930 make[6]: Nothing to be done for 'install-exec-am'. #11 7.930 make[6]: Nothing to be done for 'install-data-am'. #11 7.930 make[6]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.930 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.931 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom/bb' #11 7.936 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.942 make[5]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.942 make[5]: Nothing to be done for 'install-exec-am'. #11 7.942 make[5]: Nothing to be done for 'install-data-am'. #11 7.942 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.942 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.943 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include/osmocom' #11 7.948 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.954 make[4]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.954 make[4]: Nothing to be done for 'install-exec-am'. #11 7.954 make[4]: Nothing to be done for 'install-data-am'. #11 7.954 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.954 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.955 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/include' #11 7.956 Making install in src #11 7.962 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 7.965 CC l1ctl_server.o #11 7.965 CC trxcon_main.o #11 7.966 CC logging.o #11 7.967 CC trx_if.o #11 7.967 CC trxcon_inst.lo #11 7.968 CC trxcon_fsm.lo #11 7.969 CC trxcon_shim.lo #11 7.970 CC l1ctl.lo #11 7.971 CC sched_lchan_common.lo #11 7.972 CC sched_lchan_pdtch.lo #11 7.973 CC sched_lchan_desc.lo #11 7.973 CC sched_lchan_xcch.lo #11 7.973 CC sched_lchan_tchf.lo #11 7.973 CC sched_lchan_tchh.lo #11 7.974 CC sched_lchan_rach.lo #11 7.974 CC sched_lchan_sch.lo #11 7.974 CC sched_mframe.lo #11 7.974 CC sched_prim.lo #11 7.975 CC sched_trx.lo #11 7.975 CC l1gprs.lo #11 8.047 sched_lchan_tchh.c: In function 'rx_tchh_fn': #11 8.047 sched_lchan_tchh.c:339:17: warning: 'gsm0503_tch_hr_decode' is deprecated: Use gsm0503_tch_hr_decode2() instead [-Wdeprecated-declarations] #11 8.047 339 | rc = gsm0503_tch_hr_decode(&tch_data[0], BUFTAIL8(bursts_p), #11 8.047 | ^~ #11 8.047 In file included from sched_lchan_tchh.c:36: #11 8.047 /usr/include/osmocom/coding/gsm0503_coding.h:52:5: note: declared here #11 8.047 52 | int gsm0503_tch_hr_decode(uint8_t *tch_data, const sbit_t *bursts, int odd, #11 8.047 | ^~~~~~~~~~~~~~~~~~~~~ #11 8.155 CCLD libl1sched.la #11 8.180 CCLD libtrxcon.la #11 8.201 CCLD libl1gprs.la #11 8.240 CCLD trxcon #11 8.543 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 8.543 make[3]: Nothing to be done for 'install-data-am'. #11 8.543 /usr/bin/mkdir -p '/usr/local/bin' #11 8.545 /bin/bash ../libtool --mode=install /usr/bin/install -c trxcon '/usr/local/bin' #11 8.565 libtool: install: /usr/bin/install -c trxcon /usr/local/bin/trxcon #11 8.568 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 8.568 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon/src' #11 8.571 make[2]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 8.575 make[3]: Entering directory '/tmp/osmocom-bb/src/host/trxcon' #11 8.575 make[3]: Nothing to be done for 'install-exec-am'. #11 8.575 make[3]: Nothing to be done for 'install-data-am'. #11 8.575 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 8.575 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 8.576 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/trxcon' #11 DONE 8.7s #12 [ 8/10] RUN CD osmocom-bb/src/host/virt_phy && autoreconf -fi && ./configure && make "-j$(nproc)" install #12 2.011 configure.ac:3: warning: 'AM_CONFIG_HEADER': this macro is obsolete. #12 2.011 configure.ac:3: You should use the 'AC_CONFIG_HEADERS' macro instead. #12 2.011 ./lib/autoconf/general.m4:2434: AC_DIAGNOSE is expanded from... #12 2.011 aclocal.m4:1089: AM_CONFIG_HEADER is expanded from... #12 2.011 configure.ac:3: the top level #12 2.011 configure.ac:23: warning: The macro `AC_HEADER_STDC' is obsolete. #12 2.011 configure.ac:23: You should run autoupdate. #12 2.011 ./lib/autoconf/headers.m4:704: AC_HEADER_STDC is expanded from... #12 2.011 configure.ac:23: the top level #12 2.327 configure.ac:13: installing './compile' #12 2.328 configure.ac:4: installing './install-sh' #12 2.329 configure.ac:4: installing './missing' #12 2.372 src/Makefile.am: installing './depcomp' #12 2.468 checking for a BSD-compatible install... /usr/bin/install -c #12 2.490 checking whether build environment is sane... yes #12 2.515 checking for a race-free mkdir -p... /usr/bin/mkdir -p #12 2.520 checking for gawk... gawk #12 2.521 checking whether make sets $(MAKE)... yes #12 2.546 checking whether make supports nested variables... yes #12 2.564 checking whether make supports nested variables... (cached) yes #12 2.564 checking whether make sets $(MAKE)... (cached) yes #12 2.570 checking for gcc... gcc #12 2.640 checking whether the C compiler works... yes #12 2.713 checking for C compiler default output file name... a.out #12 2.714 checking for suffix of executables... #12 2.732 checking whether we are cross compiling... no #12 2.752 checking for suffix of object files... o #12 2.763 checking whether the compiler supports GNU C... yes #12 2.773 checking whether gcc accepts -g... yes #12 2.783 checking for gcc option to enable C11 features... none needed #12 2.799 checking whether gcc understands -c and -o together... yes #12 2.817 checking whether make supports the include directive... yes (GNU style) #12 2.820 checking dependency style of gcc... gcc3 #12 2.836 checking for pkg-config... /usr/bin/pkg-config #12 2.836 checking pkg-config is at least version 0.9.0... yes #12 2.836 checking for libosmocore... yes #12 2.839 checking for libosmogsm... yes #12 2.842 checking for stdio.h... yes #12 2.851 checking for stdlib.h... yes #12 2.861 checking for string.h... yes #12 2.871 checking for inttypes.h... yes #12 2.882 checking for stdint.h... yes #12 2.893 checking for strings.h... yes #12 2.904 checking for sys/stat.h... yes #12 2.915 checking for sys/types.h... yes #12 2.926 checking for unistd.h... yes #12 2.940 checking for grep that handles long lines and -e... /usr/bin/grep #12 2.941 checking for egrep... /usr/bin/grep -E #12 2.941 CFLAGS=" -std=gnu11" #12 2.941 CPPFLAGS="" #12 2.948 checking that generated files are newer than configure... done #12 3.504 configure: creating ./config.status #12 3.538 config.status: creating Makefile #12 3.544 config.status: creating include/Makefile #12 3.550 config.status: creating include/osmocom/Makefile #12 3.556 config.status: creating include/osmocom/bb/Makefile #12 3.563 config.status: creating include/osmocom/bb/virtphy/Makefile #12 3.569 config.status: creating src/Makefile #12 3.575 config.status: creating config.h #12 3.579 config.status: executing depfiles commands #12 3.630 Making install in include #12 3.631 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.632 Making install in osmocom #12 3.633 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.634 Making install in bb #12 3.635 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.637 Making install in virtphy #12 3.637 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 3.639 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 3.639 make[5]: Nothing to be done for 'install-exec-am'. #12 3.639 make[5]: Nothing to be done for 'install-data-am'. #12 3.639 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 3.639 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb/virtphy' #12 3.639 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.640 make[5]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.640 make[5]: Nothing to be done for 'install-exec-am'. #12 3.640 make[5]: Nothing to be done for 'install-data-am'. #12 3.640 make[5]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.640 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.641 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom/bb' #12 3.641 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.642 make[4]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.642 make[4]: Nothing to be done for 'install-exec-am'. #12 3.642 make[4]: Nothing to be done for 'install-data-am'. #12 3.642 make[4]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.642 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.643 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include/osmocom' #12 3.643 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.644 make[3]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.644 make[3]: Nothing to be done for 'install-exec-am'. #12 3.644 make[3]: Nothing to be done for 'install-data-am'. #12 3.644 make[3]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.644 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.645 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/include' #12 3.645 Making install in src #12 3.646 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 3.646 CC virtphy.o #12 3.646 CC l1gprs.o #12 3.646 CC logging.o #12 3.646 CC gsmtapl1_if.o #12 3.647 CC l1ctl_sock.o #12 3.647 CC l1ctl_sap.o #12 3.647 CC virt_prim_fbsb.o #12 3.647 CC virt_prim_pm.o #12 3.647 CC virt_prim_rach.o #12 3.648 CC virt_prim_data.o #12 3.648 CC virt_prim_pdch.o #12 3.648 CC virt_prim_traffic.o #12 3.648 CC virt_l1_sched_simple.o #12 3.648 CC virt_l1_model.o #12 3.650 CC shared/virtual_um.o #12 3.651 CC shared/osmo_mcast_sock.o #12 3.739 CCLD virtphy #12 3.770 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 3.770 make[2]: Nothing to be done for 'install-data-am'. #12 3.771 /usr/bin/mkdir -p '/usr/local/bin' #12 3.772 /usr/bin/install -c virtphy '/usr/local/bin' #12 3.774 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 3.774 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy/src' #12 3.775 make[1]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 3.776 make[2]: Entering directory '/tmp/osmocom-bb/src/host/virt_phy' #12 3.776 make[2]: Nothing to be done for 'install-exec-am'. #12 3.776 /usr/bin/mkdir -p '/usr/local/share/doc/virtphy' #12 3.778 /usr/bin/install -c -m 644 README '/usr/local/share/doc/virtphy' #12 3.779 make[2]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 3.779 make[1]: Leaving directory '/tmp/osmocom-bb/src/host/virt_phy' #12 DONE 3.9s #13 [ 9/10] RUN MKDIR -p /data/unix #13 DONE 0.5s #14 [10/10] WORKDIR /DATA #14 DONE 0.1s #15 exporting to image #15 exporting layers #15 exporting layers 0.5s done #15 writing image sha256:aee52d13b4031fa13ac00ce208c5aaaeee48a6a10ec3222b13e835ab297c4647 done #15 naming to docker.io/osmocom-build/osmocom-bb-host-master:latest 0.0s done #15 DONE 0.6s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/osmocom-bb-host-master' + docker_image_exists osmocom-bb-host-master + docker images -q osmocom-build/osmocom-bb-host-master + test -n aee52d13b403 + list_osmo_packages debian-bookworm osmocom-bb-host-master + local distro=debian-bookworm + local image=osmocom-bb-host-master + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/osmocom-bb-host-master -c + [ -n ] + return + [ registry.osmocom.org = registry.osmocom.org ] + docker_depends ttcn3-bts-test + local feed + echo debian-bookworm-titan + depends=debian-bookworm-titan + [ -n debian-bookworm-titan ] + docker_images_require debian-bookworm-titan + local i + local from_line + local pull_arg + local upstream_distro_arg + local distro_arg + local depends + local dir + [ registry.osmocom.org = registry.osmocom.org ] + docker pull registry.osmocom.org/osmocom-build/debian-bookworm-titan Using default tag: latest Error response from daemon: manifest for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest not found: manifest unknown: manifest unknown + continue + docker_distro_from_image_name ttcn3-bts-test + echo debian-bookworm + distro_arg=debian-bookworm + [ -z ] + docker_upstream_distro_from_image_name ttcn3-bts-test + echo debian:bookworm + upstream_distro_arg=debian:bookworm + docker_dir_from_image_name ttcn3-bts-test + echo ttcn3-bts-test + dir=ttcn3-bts-test + pull_arg=--pull + grep ^FROM ../ttcn3-bts-test/Dockerfile + from_line=FROM $REGISTRY/$USER/debian-bookworm-titan + echo FROM $REGISTRY/$USER/debian-bookworm-titan + grep -q $USER + pull_arg= + set +x Building image: ttcn3-bts-test (export NO_DOCKER_IMAGE_BUILD=1 to prevent this) + docker_osmo_ttcn3_branch + [ -n ] + echo master + make -C ../ttcn3-bts-test BUILD_ARGS= UPSTREAM_DISTRO=debian:bookworm DISTRO=debian-bookworm IMAGE=osmocom-build/ttcn3-bts-test OSMO_TTCN3_BRANCH=master make: Entering directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test' awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory awk: fatal: cannot open file `.release' for reading: No such file or directory rm -rf .common cp -r /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/common .common INFO: .release created release=0.0.0 docker build \ --build-arg USER=osmocom-build \ --build-arg UID=1000 \ --build-arg REGISTRY=registry.osmocom.org \ --build-arg OSMO_TTCN3_BRANCH=master \ --build-arg UPSTREAM_DISTRO=debian:bookworm \ --build-arg DISTRO=debian-bookworm \ --build-arg OSMOCOM_REPO_MIRROR=https://downloads.osmocom.org \ --build-arg OSMOCOM_REPO_PATH=packages/osmocom: \ --build-arg OSMOCOM_REPO_VERSION=asan \ --build-arg OSMOCOM_REPO_TESTSUITE_MIRROR=https://downloads.osmocom.org \ --build-arg ASTERISK_BRANCH=sysmocom/master \ --build-arg LIBOSMOCORE_BRANCH=master \ --build-arg OSMO_BB_BRANCH=master \ --build-arg OSMO_BSC_BRANCH=master \ --build-arg OSMO_BTS_BRANCH=master \ --build-arg OSMO_CBC_BRANCH=master \ --build-arg OSMO_DIA2GSUP_BRANCH=master \ --build-arg OSMO_EPDG_BRANCH=master \ --build-arg OSMO_GBPROXY_BRANCH=master \ --build-arg OSMO_GGSN_BRANCH=master \ --build-arg OSMO_GSM_TESTER_BRANCH=master \ --build-arg OSMO_HLR_BRANCH=master \ --build-arg OSMO_HNBGW_BRANCH=master \ --build-arg OSMO_HNODEB_BRANCH=master \ --build-arg OSMO_IUH_BRANCH=master \ --build-arg OSMO_MGW_BRANCH=master \ --build-arg OSMO_MSC_BRANCH=master \ --build-arg OSMO_NITB_BRANCH=master \ --build-arg OSMO_PCU_BRANCH=master \ --build-arg OSMO_SGSN_BRANCH=master \ --build-arg OSMO_SIP_BRANCH=master \ --build-arg OSMO_STP_BRANCH=master \ --build-arg OSMO_UECUPS_BRANCH=master \ --build-arg OPEN5GS_BRANCH=main \ --build-arg PJPROJECT_BRANCH=sysmocom/2.14 \ -t osmocom-build/ttcn3-bts-test:latest . #0 building with "default" instance using docker driver #1 [internal] load build definition from Dockerfile #1 transferring dockerfile: 393B done #1 DONE 0.0s #2 [internal] load .dockerignore #2 transferring context: 2B done #2 DONE 0.0s #3 [internal] load metadata for registry.osmocom.org/osmocom-build/debian-bookworm-titan:latest #3 DONE 0.0s #4 [1/4] FROM registry.osmocom.org/osmocom-build/debian-bookworm-titan #4 DONE 0.0s #5 [internal] load build context #5 transferring context: 876B done #5 DONE 0.0s #6 https://gerrit.osmocom.org/plugins/gitiles/osmo-ttcn3-hacks/+/master?format=TEXT #6 DONE 0.1s #7 [2/4] ADD HTTPS://GERRIT.OSMOCOM.ORG/PLUGINS/GITILES/OSMO-TTCN3-HACKS/+/MASTER?FORMAT=TEXT /tmp/commit #7 CACHED #8 [3/4] RUN TTCN3-DOCKER-PREPARE "master" bts #8 CACHED #9 [4/4] COPY GENERIC/BTS_TESTS.CFG /data/BTS_Tests.cfg #9 CACHED #10 exporting to image #10 exporting layers done #10 writing image sha256:b2ab032ea9fed22f2a51d4a12ff622c30327348330d6298e1bd87b6fb6780624 done #10 naming to docker.io/osmocom-build/ttcn3-bts-test:latest done #10 DONE 0.0s rm -rf .common make: Leaving directory '/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test' + docker_image_exists ttcn3-bts-test + docker images -q osmocom-build/ttcn3-bts-test + test -n b2ab032ea9fe + list_osmo_packages debian-bookworm ttcn3-bts-test + local distro=debian-bookworm + local image=ttcn3-bts-test + local docker_run_sh=docker run --rm --entrypoint=/bin/sh osmocom-build/ttcn3-bts-test -c + [ -n ] + return + set_clean_up_trap + trap clean_up_common EXIT INT TERM 0 + set -e + network_create + SUBNET=3339883 + seq 1 30 + echo (3339883 + 1) % 256 + bc + SUBNET=108 + NET_NAME=ttcn3-bts-test-108 + SUB4=172.18.108.0/24 + SUB6=fd02:db8:108::/64 + set +x Creating network ttcn3-bts-test-108, trying SUBNET=108... + docker network create --internal --subnet 172.18.108.0/24 --ipv6 --subnet fd02:db8:108::/64 ttcn3-bts-test-108 5e37d61cdf8927e9bb0d1fa70f54aacbf8519f17e3a73d3851f76d5b6a8b39b2 + set +x ### Network ttcn3-bts-test-108 created (SUBNET=108) ### + return + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic + cp generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy + cp virtphy/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml + cp oml/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + set_pcuif_version /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg + osmo_repo_is_2023q1 + return 1 + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping + cp fh/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/ + write_mp_osmo_repo /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + local repo=nightly + local config=/home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + local line + [ -e /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg ] + line=Misc_Helpers.mp_osmo_repo := "nightly" + sed -i s/\[MODULE_PARAMETERS\]/\[MODULE_PARAMETERS\]\nMisc_Helpers.mp_osmo_repo := "nightly"/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg + cp /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc + cp Makefile /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile + network_replace_subnet_in_configs + set +x Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile + make -f /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile cfg command -v osmo-config-merge /usr/bin/osmo-config-merge docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bts.cfg /data/osmo-bts.src.cfg docker run \ --rm \ --user 1000 \ -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/ttcn3-bts-test:/data \ osmocom-build/ttcn3-bts-test \ cp /osmo-ttcn3-hacks/bts/osmo-bsc.cfg /data/osmo-bsc.src.cfg osmo-config-merge osmo-bts.src.cfg generic/osmo-bts.confmerge > generic/osmo-bts.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.108.10/" generic/osmo-bts.gen.cfg osmo-config-merge osmo-bsc.src.cfg generic/osmo-bsc.confmerge > generic/osmo-bsc.gen.cfg sed -i "s/log gsmtap 127.0.0.1/log gsmtap 172.18.108.10/" generic/osmo-bsc.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg virtphy/osmo-bts.confmerge > virtphy/osmo-bts.gen.cfg sed -i "/osmotrx/d" virtphy/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bts.gen.cfg oml/osmo-bts.confmerge > oml/osmo-bts.gen.cfg osmo-config-merge generic/osmo-bsc.gen.cfg fh/osmo-bsc.confmerge > fh/osmo-bsc.gen.cfg + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc + cp generic/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/unix + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon + mkdir /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/virtphy + start_config_generic + test_config_enabled generic + local config=generic + local i + local valid=0 + [ generic = generic ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ generic = generic ] + return 0 + network_replace_subnet_in_configs + set +x Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 108 11 + NET=108 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-108 --ip 172.18.108.11 --ip6 fd02:db8:108::11 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.11 --ip6 fd02:db8:108::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc:/data --name jenkins-ttcn3-bts-test-asan-15-bsc -d osmocom-build/osmo-bsc-asan /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 72e25bd290918dea56b3cb55ece2ea116bd9d71ce3408fca0199ffccda84f125 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 108 20 + NET=108 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-15-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 bd775f6e4acc00d59ef97b52a6df9d0127a69be17d8eb23ced43d993b8308559 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 108 21 + NET=108 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-15-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.108.20 -r 172.18.108.22 --trx TRX1@172.18.108.20:5700/1 --trx TRX2@172.18.108.20:5700/2 --trx TRX3@172.18.108.20:5700/3 >>/data/fake_trx.out 2>&1 273d34e6b05a22dcaccee5a35649698777fbf4f49b6d18b078f72f1d277e7f1e + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 108 22 + NET=108 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.108.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 bcb3e420ea20425fab0caae852768686e7fe8994109efd8e3102309f2854e0ae + start_testsuite generic + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=generic + docker_network_params 108 10 + NET=108 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.108.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@7d7cf4e78c84: Unix server socket created successfully. MC@7d7cf4e78c84: Listening on TCP port 45939. MC2> 7d7cf4e78c84 is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests 7d7cf4e78c84 45939 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@7d7cf4e78c84: New HC connected from 172.18.108.10 [172.18.108.10]. 7d7cf4e78c84: Linux 6.1.0-13-amd64 on x86_64. cmtc MC@7d7cf4e78c84: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@7d7cf4e78c84: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@7d7cf4e78c84: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@7d7cf4e78c84: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@7d7cf4e78c84: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@7d7cf4e78c84: Configuration file was processed on all HCs. MC@7d7cf4e78c84: Creating MTC on host 172.18.108.10. MC@7d7cf4e78c84: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Mon Jun 24 12:39:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL(4)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL(4)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL(4)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL(4)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL(4)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL(4)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 32, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(6)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(7)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(8)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(9)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(10)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 22, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(11)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(12)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 0, t3 := 14, t2 := 17 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(13)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 22, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(14)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 45, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(15)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 32, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(16)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 32, t2 := 19 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(17)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 4, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(18)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 45, t2 := 3 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(19)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(20)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(21)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(22)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 1, t3 := 45, t2 := 22 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(23)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(24)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00011101'B, t1p := 2, t3 := 4, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_est_dchan(25)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8950 IPA-CTRL-CLI-IPA(5)@7d7cf4e78c84: Final verdict of PTC: none TC_est_dchan-RSL(4)@7d7cf4e78c84: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Mon Jun 24 12:39:46 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14351290) Waiting for packet dumper to finish... 1 (prev_count=14351290, count=14356902) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Mon Jun 24 12:39:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_stress finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Mon Jun 24 12:39:53 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9084129) Waiting for packet dumper to finish... 1 (prev_count=9084129, count=9084628) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Mon Jun 24 12:39:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_react-RSL(31)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL(31)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL(31)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_act_react-RSL(31)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_react(33)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_react finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Mon Jun 24 12:39:58 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1911139) Waiting for packet dumper to finish... 1 (prev_count=1911139, count=1911638) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Mon Jun 24 12:40:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Mon Jun 24 12:40:04 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1870973) Waiting for packet dumper to finish... 1 (prev_count=1870973, count=1871472) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Mon Jun 24 12:40:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(51)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(52)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@7d7cf4e78c84: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL-IPA(38)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL(39)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Mon Jun 24 12:40:10 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1946108) Waiting for packet dumper to finish... 1 (prev_count=1946108, count=1946607) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Mon Jun 24 12:40:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL(55)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_deact_sacch-RSL(55)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL(55)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_deact_sacch-RSL(55)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL(55)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_deact_sacch-RSL(55)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(57)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(58)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(59)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(60)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(61)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_deact_sacch(62)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(62)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_deact_sacch(63)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(63)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(64)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(65)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(66)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_deact_sacch(67)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(67)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_deact_sacch(68)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(68)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_deact_sacch(69)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(69)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_deact_sacch(70)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(70)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_deact_sacch(71)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(71)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_deact_sacch(72)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(72)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_deact_sacch(73)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(73)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_deact_sacch(74)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(74)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_deact_sacch(75)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(75)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_deact_sacch(76)@7d7cf4e78c84: setverdict(pass): none -> pass TC_deact_sacch(76)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@7d7cf4e78c84: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_deact_sacch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Mon Jun 24 12:41:45 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=87758672) Waiting for packet dumper to finish... 1 (prev_count=87758672, count=87764284) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Mon Jun 24 12:41:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_filling-RSL(78)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL(78)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL(78)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(80)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(81)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(82)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(83)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(84)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_filling(85)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(85)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_filling(86)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(86)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(87)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(88)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(89)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_filling(90)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(90)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_filling(91)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(91)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_filling(92)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(92)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_filling(93)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(93)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_filling(94)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(94)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_filling(95)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(95)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_filling(96)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(96)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_filling(97)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(97)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_filling(98)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(98)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_filling(99)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_filling(99)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_filling finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Mon Jun 24 12:42:06 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12183298) Waiting for packet dumper to finish... 1 (prev_count=12183298, count=12188910) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Mon Jun 24 12:42:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_info_mod(108)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_info_mod(109)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_info_mod(113)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_info_mod(114)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_info_mod(115)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_info_mod(116)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_info_mod(117)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_info_mod(118)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_info_mod(119)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_info_mod(120)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_info_mod(121)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_info_mod(122)@7d7cf4e78c84: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_info_mod finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Mon Jun 24 12:42:40 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30171101) Waiting for packet dumper to finish... 1 (prev_count=30171101, count=30176276) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Mon Jun 24 12:42:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_multi-RSL(124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL(124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_multi finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Mon Jun 24 12:44:12 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=84947732) Waiting for packet dumper to finish... 1 (prev_count=84947732, count=84948231) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Mon Jun 24 12:44:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Mon Jun 24 12:45:51 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=88540248) Waiting for packet dumper to finish... 1 (prev_count=88540248, count=88540747) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Mon Jun 24 12:45:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(172)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(173)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(174)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(175)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(176)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_sacch_chan_act(177)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(177)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_sacch_chan_act(178)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(178)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(179)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(180)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(181)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_sacch_chan_act(182)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(182)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act(183)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(183)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_sacch_chan_act(184)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(184)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_sacch_chan_act(185)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(185)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_sacch_chan_act(186)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(186)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_sacch_chan_act(187)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(187)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act(188)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(188)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_sacch_chan_act(189)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(189)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_sacch_chan_act(190)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(190)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_sacch_chan_act(191)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act(191)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_chan_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Mon Jun 24 12:46:30 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30431295) Waiting for packet dumper to finish... 1 (prev_count=30431295, count=30436470) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Mon Jun 24 12:46:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Mon Jun 24 12:47:05 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30964370) Waiting for packet dumper to finish... 1 (prev_count=30964370, count=30969982) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Mon Jun 24 12:47:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: Timeout waiting for SACCH '1DA1C719E42B759557A58E61CB5C2029CB3D9D'O TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@7d7cf4e78c84: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Mon Jun 24 12:47:58 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=48496646) Waiting for packet dumper to finish... 1 (prev_count=48496646, count=48497145) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Mon Jun 24 12:48:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL(207)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rach_content-RSL(207)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_content finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Mon Jun 24 12:48:12 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11477178) Waiting for packet dumper to finish... 1 (prev_count=11477178, count=11477677) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Mon Jun 24 12:48:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_content_emerg finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Mon Jun 24 12:48:27 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11476350) Waiting for packet dumper to finish... 1 (prev_count=11476350, count=11476849) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Mon Jun 24 12:48:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_count-RSL(213)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_count-RSL(213)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL(213)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL(213)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rach_count-RSL(213)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_count finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Mon Jun 24 12:48:45 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14489980) Waiting for packet dumper to finish... 1 (prev_count=14489980, count=14490916) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Mon Jun 24 12:48:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL(216)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL(216)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL(216)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 27, t2 := 19 } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@7d7cf4e78c84: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_max_ta finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Mon Jun 24 12:49:00 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10109232) Waiting for packet dumper to finish... 1 (prev_count=10109232, count=10114407) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Mon Jun 24 12:49:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_rach-RSL(219)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ho_rach-RSL(219)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL(219)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ho_rach-RSL(219)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(221)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(222)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(223)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(224)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(225)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } TC_ho_rach(226)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(226)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } TC_ho_rach(227)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(227)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(228)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(229)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(230)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } TC_ho_rach(231)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(231)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(231)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ho_rach(232)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(232)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(232)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } TC_ho_rach(233)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(233)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } TC_ho_rach(234)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(234)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } TC_ho_rach(235)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(235)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } TC_ho_rach(236)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(236)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ho_rach(237)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(237)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } TC_ho_rach(238)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(238)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } TC_ho_rach(239)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(239)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } TC_ho_rach(240)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_rach(240)@7d7cf4e78c84: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@7d7cf4e78c84: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_ho_rach finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Mon Jun 24 12:49:09 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4935996) Waiting for packet dumper to finish... 1 (prev_count=4935996, count=4936751) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Mon Jun 24 12:49:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ho_physical_info-RSL(242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ho_physical_info(244)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@7d7cf4e78c84: Sending handover Access Burst TC_ho_physical_info(244)@7d7cf4e78c84: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@7d7cf4e78c84: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@7d7cf4e78c84: Final verdict of PTC: none TC_ho_physical_info(244)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" IPA-CTRL-CLI-IPA(243)@7d7cf4e78c84: Final verdict of PTC: none TC_ho_physical_info-RSL-IPA(241)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@7d7cf4e78c84: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Mon Jun 24 12:49:17 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4218786) Waiting for packet dumper to finish... 1 (prev_count=4218786, count=4219285) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Mon Jun 24 12:49:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Mon Jun 24 12:49:33 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5425812) Waiting for packet dumper to finish... 1 (prev_count=5425812, count=5426311) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Mon Jun 24 12:49:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Mon Jun 24 12:49:43 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4109090) Waiting for packet dumper to finish... 1 (prev_count=4109090, count=4109589) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Mon Jun 24 12:49:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_count-RSL(252)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rach_load_count-RSL(252)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='86'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@7d7cf4e78c84: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 33, t2 := 16 } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@7d7cf4e78c84: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rach_load_count finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Mon Jun 24 12:50:09 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17285637) Waiting for packet dumper to finish... 1 (prev_count=17285637, count=17286136) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Mon Jun 24 12:50:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@7d7cf4e78c84: Test Component 257 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchf(257)@7d7cf4e78c84: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" TC_meas_res_speech_tchf-RSL-IPA(254)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL(255)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf(257): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf fail'. Mon Jun 24 12:50:15 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchf fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2912620) Waiting for packet dumper to finish... 1 (prev_count=2912620, count=2918232) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Mon Jun 24 12:50:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@7d7cf4e78c84: Test Component 261 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchf_facch-RSL(259)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchf_facch(261)@7d7cf4e78c84: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" IPA-CTRL-CLI-IPA(260)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch fail'. Mon Jun 24 12:50:22 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchf_facch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2924088) Waiting for packet dumper to finish... 1 (prev_count=2924088, count=2924587) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Mon Jun 24 12:50:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(265)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(266)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh(266)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh-RSL(263)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(264)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh(265): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh(266): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass'. Mon Jun 24 12:50:44 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19493237) Waiting for packet dumper to finish... 1 (prev_count=19493237, count=19493736) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Mon Jun 24 12:50:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(270)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_facch(271)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3655 TC_meas_res_speech_tchh_facch-RSL(268)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(269)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(267)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(267): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(268): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(269): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_facch(270): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_facch(271): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_facch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass'. Mon Jun 24 12:51:05 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_facch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19463541) Waiting for packet dumper to finish... 1 (prev_count=19463541, count=19469281) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Mon Jun 24 12:51:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(275)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_toa256(276)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3680 TC_meas_res_speech_tchh_toa256-RSL(273)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(274)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256-RSL-IPA(272)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(272): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(273): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(274): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_toa256(275): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_toa256(276): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass'. Mon Jun 24 12:51:27 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_toa256 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19351240) Waiting for packet dumper to finish... 1 (prev_count=19351240, count=19351739) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Mon Jun 24 12:51:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(280)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(280)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(281)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(281)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(282)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(282)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(283)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchf(283)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3694 TC_meas_res_sign_tchf-RSL(278)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(279)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_sign_tchf-RSL-IPA(277)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(277): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf-RSL(278): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(279): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf(280): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf(281): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf(282): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchf(283): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchf finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass'. Mon Jun 24 12:52:05 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=37732711) Waiting for packet dumper to finish... 1 (prev_count=37732711, count=37733338) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Mon Jun 24 12:52:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(287)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(287)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(288)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh(288)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3707 TC_meas_res_sign_tchh-RSL(285)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(286)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(284)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(284): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh-RSL(285): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(286): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh(287): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh(288): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass'. Mon Jun 24 12:52:26 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18162500) Waiting for packet dumper to finish... 1 (prev_count=18162500, count=18162999) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Mon Jun 24 12:52:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(292)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(293)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(294)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(295)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(290)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(289)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(289): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(290): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4(292): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4(293): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4(294): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch4(295): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Mon Jun 24 12:53:04 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30837055) Waiting for packet dumper to finish... 1 (prev_count=30837055, count=30837554) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Mon Jun 24 12:53:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(299)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(300)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(301)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(302)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(303)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(304)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(305)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch8(306)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3733 TC_meas_res_sign_sdcch8-RSL(297)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(298)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_sign_sdcch8-RSL-IPA(296)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(296): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(297): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(298): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(299): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(300): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(301): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(302): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(303): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(304): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(305): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_sdcch8(306): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_sign_sdcch8 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass'. Mon Jun 24 12:54:14 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch8 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=59647037) Waiting for packet dumper to finish... 1 (prev_count=59647037, count=59647536) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Mon Jun 24 12:54:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(310)@7d7cf4e78c84: Final verdict of PTC: pass TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_tchh_toa256(311)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3747 TC_meas_res_sign_tchh_toa256-RSL-IPA(307)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL(308)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(309)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(307): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(308): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(309): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh_toa256(310): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_sign_tchh_toa256(311): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass'. Mon Jun 24 12:54:36 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_tchh_toa256 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18121596) Waiting for packet dumper to finish... 1 (prev_count=18121596, count=18127208) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Mon Jun 24 12:54:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(315)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(313)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(312)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(314)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(312): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(313): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(314): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(315): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Mon Jun 24 12:54:50 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11148913) Waiting for packet dumper to finish... 1 (prev_count=11148913, count=11149412) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Mon Jun 24 12:54:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(319)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(317)@7d7cf4e78c84: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(316)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(318)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(316): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(317): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(318): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(319): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Mon Jun 24 12:55:03 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10674356) Waiting for packet dumper to finish... 1 (prev_count=10674356, count=10674855) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Mon Jun 24 12:55:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(323)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(321)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(322)@7d7cf4e78c84: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(320)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(320): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(321): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(322): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(323): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Mon Jun 24 12:55:16 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7674124) Waiting for packet dumper to finish... 1 (prev_count=7674124, count=7679736) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Mon Jun 24 12:55:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_down_bcch(327)@7d7cf4e78c84: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: IPA: Closed TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(324)@7d7cf4e78c84: Final verdict of PTC: none TC_tx_power_down_bcch(327)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tx_power_down_bcch(327)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(325)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(326)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(324): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_down_bcch-RSL(325): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(326): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_down_bcch(327): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Mon Jun 24 12:55:31 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6810924) Waiting for packet dumper to finish... 1 (prev_count=6810924, count=6816099) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Mon Jun 24 12:55:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=27 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=22 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=14 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=6 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=0 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=8 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=16 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=24 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Received rx_level=30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Tx power increased during ramp up: 0 -> 30 TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tx_power_ramp_adm_state_change(331)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Didn't receive data_ind while in rf_locked state." MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3040 TC_tx_power_ramp_adm_state_change-RSL(329)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(330)@7d7cf4e78c84: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(328)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(328): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(329): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(330): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tx_power_ramp_adm_state_change(331): pass (none -> pass) reason: "Didn't receive data_ind while in rf_locked state." MTC@7d7cf4e78c84: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: pass reason: Didn't receive data_ind while in rf_locked state. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass'. Mon Jun 24 12:55:56 UTC 2024 ====== BTS_Tests.TC_tx_power_ramp_adm_state_change pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17666307) Waiting for packet dumper to finish... 1 (prev_count=17666307, count=17671919) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Mon Jun 24 12:56:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: SACCH received: '00000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(335)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(333)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(334)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(332)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(332): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(333): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(334): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_ass(335): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Mon Jun 24 12:56:02 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2484408) Waiting for packet dumper to finish... 1 (prev_count=2484408, count=2484907) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Mon Jun 24 12:56:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(339)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(337)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(338)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(336)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(336): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(337): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(338): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(339): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Mon Jun 24 12:56:09 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2476101) Waiting for packet dumper to finish... 1 (prev_count=2476101, count=2476600) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Mon Jun 24 12:56:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(343)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(343)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(343)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Power level := 15does not match the signaled (RSL) power level := 0", new component reason: "Power level := 15does not match the signaled (RSL) power level := 0" TC_rsl_ms_pwr_ctrl(343)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3859 MC@7d7cf4e78c84: Test Component 343 has requested to stop MTC. Terminating current testcase execution. TC_rsl_ms_pwr_ctrl-RSL(341)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(340)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl(343)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Power level := 15does not match the signaled (RSL) power level := 0" IPA-CTRL-CLI-IPA(342)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(340): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(341): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(342): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_ctrl(343): fail (none -> fail) reason: "Power level := 15does not match the signaled (RSL) power level := 0" MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: fail reason: Power level := 15does not match the signaled (RSL) power level := 0 MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl fail'. Mon Jun 24 12:56:15 UTC 2024 ------ BTS_Tests.TC_rsl_ms_pwr_ctrl fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2325059) Waiting for packet dumper to finish... 1 (prev_count=2325059, count=2325558) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Mon Jun 24 12:56:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(347)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(345)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(344)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(346)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(344): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(345): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(346): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(347): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Mon Jun 24 12:56:31 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13429069) Waiting for packet dumper to finish... 1 (prev_count=13429069, count=13434244) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Mon Jun 24 12:56:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(351)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(349)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(350)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(348): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(349): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(350): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(351): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Mon Jun 24 12:56:47 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13475301) Waiting for packet dumper to finish... 1 (prev_count=13475301, count=13475800) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Mon Jun 24 12:56:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(355)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(353)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(354)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(352)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(352): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(353): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(354): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(355): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Mon Jun 24 12:57:12 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=23739537) Waiting for packet dumper to finish... 1 (prev_count=23739537, count=23740036) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Mon Jun 24 12:57:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(359)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(357)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(356)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(358)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(356): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(357): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(358): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(359): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Mon Jun 24 12:57:28 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13473374) Waiting for packet dumper to finish... 1 (prev_count=13473374, count=13473873) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Mon Jun 24 12:57:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(363)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(361)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(362)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(360): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(361): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(362): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(363): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Mon Jun 24 12:57:41 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9386205) Waiting for packet dumper to finish... 1 (prev_count=9386205, count=9391380) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Mon Jun 24 12:57:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(367)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(365)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(366)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(364)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(364): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(365): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(366): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(367): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Mon Jun 24 12:57:56 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13013688) Waiting for packet dumper to finish... 1 (prev_count=13013688, count=13018863) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Mon Jun 24 12:58:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(371)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(371)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(371)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(371)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(371)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_chan_initial_ms_pwr(372)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(372)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(372)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(372)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(372)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(373)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(373)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(373)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(373)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(373)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_chan_initial_ms_pwr(374)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(374)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(374)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(374)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(369)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(368)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(370)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(368): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(369): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(370): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(371): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(372): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(373): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(374): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Mon Jun 24 12:58:03 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3627428) Waiting for packet dumper to finish... 1 (prev_count=3627428, count=3627927) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Mon Jun 24 12:58:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(378)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(376)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(377)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(375)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(375): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(376): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(377): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_chan_initial_ta(378): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Mon Jun 24 12:58:10 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2859121) Waiting for packet dumper to finish... 1 (prev_count=2859121, count=2859620) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Mon Jun 24 12:58:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(382)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_modify_encr(382)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(382)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_rsl_modify_encr(382)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(382)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(382)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(382)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(382)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rsl_modify_encr(383)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_modify_encr(383)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(383)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_rsl_modify_encr(383)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(383)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(383)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(383)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(383)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(384)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_modify_encr(384)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rsl_modify_encr(384)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_rsl_modify_encr(384)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(384)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(384)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(384)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(384)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rsl_modify_encr(385)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_modify_encr(385)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_rsl_modify_encr(385)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(385)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(380)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(379)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(381)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(379): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr-RSL(380): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(381): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr(382): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr(383): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr(384): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_modify_encr(385): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Mon Jun 24 12:58:29 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14430817) Waiting for packet dumper to finish... 1 (prev_count=14430817, count=14431316) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Mon Jun 24 12:58:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(387)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(388)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(386)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(386): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(387): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(388): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Mon Jun 24 12:58:48 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13961553) Waiting for packet dumper to finish... 1 (prev_count=13961553, count=13962052) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Mon Jun 24 12:58:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit(392)@7d7cf4e78c84: setverdict(pass): none -> pass TC_conn_fail_crit(392)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(392)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(392)@7d7cf4e78c84: Final verdict of PTC: pass TC_conn_fail_crit-RSL(390)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(391)@7d7cf4e78c84: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(389)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(389): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_conn_fail_crit-RSL(390): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(391): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_conn_fail_crit(392): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_conn_fail_crit finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Mon Jun 24 12:59:10 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16997869) Waiting for packet dumper to finish... 1 (prev_count=16997869, count=17003044) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Mon Jun 24 12:59:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: num_paging_sent=271 rcvd_msgs=169 rcvd_ids=271 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(394)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(395)@7d7cf4e78c84: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(393)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(393): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_80percent-RSL(394): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(395): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Mon Jun 24 12:59:38 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20866514) Waiting for packet dumper to finish... 1 (prev_count=20866514, count=20867013) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Mon Jun 24 12:59:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: num_paging_sent=543 rcvd_msgs=171 rcvd_ids=543 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(397)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(398)@7d7cf4e78c84: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(396)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(396): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_tmsi_80percent-RSL(397): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Mon Jun 24 13:00:05 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21214914) Waiting for packet dumper to finish... 1 (prev_count=21214914, count=21215413) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Mon Jun 24 13:00:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=533 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(400)@7d7cf4e78c84: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(399)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(399): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_200percent-RSL(400): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Mon Jun 24 13:00:44 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32164056) Waiting for packet dumper to finish... 1 (prev_count=32164056, count=32164555) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Mon Jun 24 13:00:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: num_paging_sent=1359 rcvd_msgs=216 rcvd_ids=862 MTC@7d7cf4e78c84: setverdict(fail): none -> fail reason: ""BTS_Tests.ttcn:4410 : Expected (869 .. 978) pagings but have 862"", new component reason: ""BTS_Tests.ttcn:4410 : Expected (869 .. 978) pagings but have 862"" MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4410 TC_paging_tmsi_200percent-RSL(403)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@7d7cf4e78c84: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(402)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:4410 : Expected (869 .. 978) pagings but have 862"" MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(402): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_tmsi_200percent-RSL(403): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (fail -> fail) MTC@7d7cf4e78c84: Test case TC_paging_tmsi_200percent finished. Verdict: fail reason: "BTS_Tests.ttcn:4410 : Expected (869 .. 978) pagings but have 862" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent fail'. Mon Jun 24 13:01:17 UTC 2024 ------ BTS_Tests.TC_paging_tmsi_200percent fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=28790175) Waiting for packet dumper to finish... 1 (prev_count=28790175, count=28790674) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Mon Jun 24 13:01:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(406)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(405)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(405): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_protocol_error-RSL(406): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Mon Jun 24 13:01:22 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1876241) Waiting for packet dumper to finish... 1 (prev_count=1876241, count=1876740) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Mon Jun 24 13:01:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(409)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(408)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(408): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_mand_ie_error-RSL(409): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Mon Jun 24 13:01:28 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1866849) Waiting for packet dumper to finish... 1 (prev_count=1866849, count=1867348) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Mon Jun 24 13:01:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(412)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@7d7cf4e78c84: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(411)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(411): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rsl_ie_content_error-RSL(412): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Mon Jun 24 13:01:33 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1872754) Waiting for packet dumper to finish... 1 (prev_count=1872754, count=1873253) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Mon Jun 24 13:01:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(415)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(415)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(415)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(415)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(415)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_default-RSL(415)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(415)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL(415)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(415)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(415)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_default-RSL(415)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL(415)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(415)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_default-RSL(415)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_default-RSL(415)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(415)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: "TC_si_sched_default": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=4 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_default": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(415)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(414)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_default-RSL-IPA(414): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_default-RSL(415): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_default finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Mon Jun 24 13:01:47 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8804762) Waiting for packet dumper to finish... 1 (prev_count=8804762, count=8805261) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Mon Jun 24 13:01:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL(418)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(418)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(418)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(418)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(418)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(418)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(418)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(418)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL(418)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(418)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: "TC_si_sched_1": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=4 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_1": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(418)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(417)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_1-RSL-IPA(417): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_1-RSL(418): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_1 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Mon Jun 24 13:02:01 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8778579) Waiting for packet dumper to finish... 1 (prev_count=8778579, count=8783754) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Mon Jun 24 13:02:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=4 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(421)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(420)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(420): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2bis-RSL(421): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_2bis finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Mon Jun 24 13:02:14 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8759131) Waiting for packet dumper to finish... 1 (prev_count=8759131, count=8759630) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Mon Jun 24 13:02:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(424)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(423)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(423): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2ter-RSL(424): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_2ter finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Mon Jun 24 13:02:28 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8806828) Waiting for packet dumper to finish... 1 (prev_count=8806828, count=8807327) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Mon Jun 24 13:02:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=4 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(427)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(426)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(428)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(426): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(427): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(428): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Mon Jun 24 13:02:41 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8774053) Waiting for packet dumper to finish... 1 (prev_count=8774053, count=8779228) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Mon Jun 24 13:02:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 27, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=6 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(430)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(431)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(429)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(429): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_2quater-RSL(430): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(431): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_2quater finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Mon Jun 24 13:03:03 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15647717) Waiting for packet dumper to finish... 1 (prev_count=15647717, count=15648216) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Mon Jun 24 13:03:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(433)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(433)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_13-RSL(433)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL(433)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(433)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_13-RSL(433)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(433)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(433)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_si_sched_13-RSL(433)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(433)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(433)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: "TC_si_sched_13": TC=0 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=1 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=2 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=3 has #of SI=5 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=4 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=5 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=6 has #of SI=4 MTC@7d7cf4e78c84: "TC_si_sched_13": TC=7 has #of SI=4 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: not-bccch-extended MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(433)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(434)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(432)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_13-RSL-IPA(432): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_13-RSL(433): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(434): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_13 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Mon Jun 24 13:03:17 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8806839) Waiting for packet dumper to finish... 1 (prev_count=8806839, count=8807338) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Mon Jun 24 13:03:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@7d7cf4e78c84: Protocol discriminator is not RR (!= '0110'B): 0 MTC@7d7cf4e78c84: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@7d7cf4e78c84: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=9 MTC@7d7cf4e78c84: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@7d7cf4e78c84: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3572, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@7d7cf4e78c84: not-bccch-extended MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(436)@7d7cf4e78c84: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(435): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(436): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Mon Jun 24 13:03:38 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15809851) Waiting for packet dumper to finish... 1 (prev_count=15809851, count=15810350) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Mon Jun 24 13:03:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(441)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(441)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL-IPA(438)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL(439)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(440)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(438): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(439): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(440): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_dlcx_not_active(441): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Mon Jun 24 13:03:44 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1877245) Waiting for packet dumper to finish... 1 (prev_count=1877245, count=1877744) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Mon Jun 24 13:03:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(445)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(445)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(445)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(443)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(442)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(444)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(442): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(443): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(444): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_twice_not_active(445): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Mon Jun 24 13:03:49 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1873249) Waiting for packet dumper to finish... 1 (prev_count=1873249, count=1873748) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Mon Jun 24 13:03:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(449)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(449)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(449)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(449)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(448)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(446): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(447): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(448): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(449): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Mon Jun 24 13:03:55 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1869680) Waiting for packet dumper to finish... 1 (prev_count=1869680, count=1870179) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Mon Jun 24 13:03:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(452)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(450): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(451): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(452): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(453): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Mon Jun 24 13:04:00 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1899312) Waiting for packet dumper to finish... 1 (prev_count=1899312, count=1899811) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Mon Jun 24 13:04:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(457)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(457)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active(458)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(458)@7d7cf4e78c84: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL(455)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(456)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL-IPA(454)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(454): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(455): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(456): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(457): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(458): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Mon Jun 24 13:04:06 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1891514) Waiting for packet dumper to finish... 1 (prev_count=1891514, count=1897126) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Mon Jun 24 13:04:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(462)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(462)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(462)@7d7cf4e78c84: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(463)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(463)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(463)@7d7cf4e78c84: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL-IPA(459)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(461)@7d7cf4e78c84: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL(460)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(459): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(460): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(461): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_ack_addr(462): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@7d7cf4e78c84: Local verdict of PTC TC_ipa_crcx_ack_addr(463): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@7d7cf4e78c84: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Mon Jun 24 13:04:12 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1885169) Waiting for packet dumper to finish... 1 (prev_count=1885169, count=1885668) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Mon Jun 24 13:04:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: Rx LOAD_IND MTC@7d7cf4e78c84: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=534 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(465)@7d7cf4e78c84: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(464)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(466)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(464): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(465): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(466): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Mon Jun 24 13:04:51 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32030423) Waiting for packet dumper to finish... 1 (prev_count=32030423, count=32035598) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Mon Jun 24 13:04:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req-RSL(468)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_act_req-RSL(468)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_act_req-RSL(468)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(467)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req-RSL-IPA(467): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req-RSL(468): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_act_req finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Mon Jun 24 13:04:59 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3242866) Waiting for packet dumper to finish... 1 (prev_count=3242866, count=3243365) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Mon Jun 24 13:05:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(471)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(470)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(470): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(471): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Mon Jun 24 13:05:11 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4593369) Waiting for packet dumper to finish... 1 (prev_count=4593369, count=4598544) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Mon Jun 24 13:05:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(474)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(473)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(473): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(474): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Mon Jun 24 13:05:22 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4586794) Waiting for packet dumper to finish... 1 (prev_count=4586794, count=4587293) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Mon Jun 24 13:05:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(477)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(476)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(476): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(477): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Mon Jun 24 13:05:34 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4597750) Waiting for packet dumper to finish... 1 (prev_count=4597750, count=4598249) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Mon Jun 24 13:05:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(480)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(479)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(479): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_deact_req-RSL(480): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_deact_req finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Mon Jun 24 13:05:48 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5507939) Waiting for packet dumper to finish... 1 (prev_count=5507939, count=5508438) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Mon Jun 24 13:05:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(483)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(482)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(482): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(483): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Mon Jun 24 13:05:57 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3721394) Waiting for packet dumper to finish... 1 (prev_count=3721394, count=3722021) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Mon Jun 24 13:06:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(486)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(485)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(485): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si1-RSL(486): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Mon Jun 24 13:06:03 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1882942) Waiting for packet dumper to finish... 1 (prev_count=1882942, count=1883441) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Mon Jun 24 13:06:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(489)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(488)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(488): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si3-RSL(489): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Mon Jun 24 13:06:08 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1884820) Waiting for packet dumper to finish... 1 (prev_count=1884820, count=1885319) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Mon Jun 24 13:06:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(492)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(491)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(491): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ver_si13-RSL(492): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Mon Jun 24 13:06:14 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1873880) Waiting for packet dumper to finish... 1 (prev_count=1873880, count=1874379) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Mon Jun 24 13:06:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(495)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(494)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(494): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(495): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Mon Jun 24 13:06:22 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4575971) Waiting for packet dumper to finish... 1 (prev_count=4575971, count=4576470) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Mon Jun 24 13:06:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(498)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(497)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(497): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(498): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Mon Jun 24 13:06:32 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5250089) Waiting for packet dumper to finish... 1 (prev_count=5250089, count=5250588) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Mon Jun 24 13:06:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(501)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(500)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(500): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(501): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Mon Jun 24 13:06:45 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9441914) Waiting for packet dumper to finish... 1 (prev_count=9441914, count=9442850) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Mon Jun 24 13:06:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(504)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(505)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(503)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(503): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(504): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Mon Jun 24 13:06:59 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9464066) Waiting for packet dumper to finish... 1 (prev_count=9464066, count=9464565) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Mon Jun 24 13:07:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(508)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL(507)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(506)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(506): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(507): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Mon Jun 24 13:07:13 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9417039) Waiting for packet dumper to finish... 1 (prev_count=9417039, count=9417538) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Mon Jun 24 13:07:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(510)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(511)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(509)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(509): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(510): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Mon Jun 24 13:07:23 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6231837) Waiting for packet dumper to finish... 1 (prev_count=6231837, count=6232336) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Mon Jun 24 13:07:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 792, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 117, qta := 0, fn := 818, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 844, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 120, qta := 0, fn := 870, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 896, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 122, qta := 0, fn := 922, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 120, qta := 0, fn := 948, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 974, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 118, qta := 0, fn := 1000, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 113, qta := 0, fn := 1026, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 123, qta := 0, fn := 1052, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 119, qta := 0, fn := 1078, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 116, qta := 0, fn := 1104, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 114, qta := 0, fn := 1130, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 121, qta := 0, fn := 1156, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending an Access Burst towards the L1CTL interface MTC@7d7cf4e78c84: Rx an Access Burst on the PCU interface: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_PTCCH (7), ra := 120, qta := 0, fn := 1182, arfcn := 0, is_11bit := 0, burst_type := BURST_TYPE_0 (1), trx_nr := 0, ts_nr := 7 } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Sending a PTCCH/D block towards the PCU interface: '3EF7ED42BC8AF236779151D2E1218C59BD946FE8723E0D'O MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_ptcch-RSL(513)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(512)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(512): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ptcch-RSL(513): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_ptcch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass'. Mon Jun 24 13:07:34 UTC 2024 ====== BTS_Tests.TC_pcu_ptcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7186883) Waiting for packet dumper to finish... 1 (prev_count=7186883, count=7187382) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Mon Jun 24 13:07:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(516)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(515)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(515): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_agch-RSL(516): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Mon Jun 24 13:07:43 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4571018) Waiting for packet dumper to finish... 1 (prev_count=4571018, count=4571517) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Mon Jun 24 13:07:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(519)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(518)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(518): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_pch-RSL(519): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Mon Jun 24 13:07:52 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4609001) Waiting for packet dumper to finish... 1 (prev_count=4609001, count=4609500) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Mon Jun 24 13:07:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: IMM.ASS was sent on PCH MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(522)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(521)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(523)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(521): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(522): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Mon Jun 24 13:07:58 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2119455) Waiting for packet dumper to finish... 1 (prev_count=2119455, count=2119954) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Mon Jun 24 13:08:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: IMM.ASS was sent on AGCH MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(525)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(524)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(524): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(525): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Mon Jun 24 13:08:03 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2074875) Waiting for packet dumper to finish... 1 (prev_count=2074875, count=2075374) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Mon Jun 24 13:08:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(528)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(527)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(527): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rach_content-RSL(528): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_rach_content finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Mon Jun 24 13:08:18 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10874680) Waiting for packet dumper to finish... 1 (prev_count=10874680, count=10875179) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Mon Jun 24 13:08:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(531)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(530)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(532)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(530): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_ext_rach_content-RSL(531): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Mon Jun 24 13:08:32 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10849018) Waiting for packet dumper to finish... 1 (prev_count=10849018, count=10849517) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Mon Jun 24 13:08:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=-256 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=-256) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=-128 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=-128) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=0 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=0) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=128 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=128) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=256 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=256) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=384 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=384) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=512 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=512) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=640 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=640) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=768 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=768) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=896 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=896) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=1024 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=1024) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=1152 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=1152) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Testing C/I=1280 cB MTC@7d7cf4e78c84: Rx PCUIF_DATA.ind (lqual_cb=1280) MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_ind_lqual_cb-RSL(534)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(533)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(535)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(533): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(534): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(535): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass'. Mon Jun 24 13:08:41 UTC 2024 ====== BTS_Tests.TC_pcu_data_ind_lqual_cb pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5025118) Waiting for packet dumper to finish... 1 (prev_count=5025118, count=5030421) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Mon Jun 24 13:08:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_paging_from_rsl-RSL(537)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(538)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(536)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(536): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(537): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(538): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Mon Jun 24 13:08:47 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2103153) Waiting for packet dumper to finish... 1 (prev_count=2103153, count=2103740) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Mon Jun 24 13:08:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: 1061 fn expired with 246 PCU_TIME.ind MTC@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)", new component reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)" TC_pcu_time_ind-RSL(540)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(541)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(539)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: fail reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)" MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(539): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_time_ind-RSL(540): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(541): none (fail -> fail) MTC@7d7cf4e78c84: Test case TC_pcu_time_ind finished. Verdict: fail reason: Number of TDMA Frames (1061) not matching (1063 .. 1103) MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail'. Mon Jun 24 13:09:01 UTC 2024 ------ BTS_Tests.TC_pcu_time_ind fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5678368) Waiting for packet dumper to finish... 1 (prev_count=5678368, count=5683671) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Mon Jun 24 13:09:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: 1066 fn expired with num_rts_pdtch=247, num_rts_ptcch=10 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rts_req-RSL(543)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(544)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(542)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(542): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rts_req-RSL(543): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(544): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_rts_req finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass'. Mon Jun 24 13:09:14 UTC 2024 ====== BTS_Tests.TC_pcu_rts_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5670828) Waiting for packet dumper to finish... 1 (prev_count=5670828, count=5671327) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Mon Jun 24 13:09:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(547)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(548)@7d7cf4e78c84: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@7d7cf4e78c84: Test Component 548 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(548)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(546)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(545)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(545): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_oml_alert-RSL(546): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(547): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(548): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@7d7cf4e78c84: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Mon Jun 24 13:09:23 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3251301) Waiting for packet dumper to finish... 1 (prev_count=3251301, count=3251928) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Mon Jun 24 13:09:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(552)@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_rr_suspend(552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(552)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(552)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(552)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(552)@7d7cf4e78c84: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(550)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(549)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(551)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(549): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rr_suspend-RSL(550): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(551): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_rr_suspend(552): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Mon Jun 24 13:09:29 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2276886) Waiting for packet dumper to finish... 1 (prev_count=2276886, count=2277385) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Mon Jun 24 13:09:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: BTS has accept()ed connection MTC@7d7cf4e78c84: BTS has close()d connection MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(554)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(555)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(553)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(553): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(554): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(555): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Mon Jun 24 13:09:35 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1883168) Waiting for packet dumper to finish... 1 (prev_count=1883168, count=1883667) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Mon Jun 24 13:09:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(557)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(558)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(556)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(556): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_reconnect-RSL(557): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Mon Jun 24 13:09:42 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2783413) Waiting for packet dumper to finish... 1 (prev_count=2783413, count=2783912) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Mon Jun 24 13:09:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(560)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(559): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(560): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Mon Jun 24 13:09:51 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4306171) Waiting for packet dumper to finish... 1 (prev_count=4306171, count=4311346) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Mon Jun 24 13:09:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(563)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(562): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(563): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Mon Jun 24 13:09:58 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3668625) Waiting for packet dumper to finish... 1 (prev_count=3668625, count=3669124) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Mon Jun 24 13:10:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(566)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(565)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(565): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(566): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Mon Jun 24 13:10:07 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4323657) Waiting for packet dumper to finish... 1 (prev_count=4323657, count=4324284) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Mon Jun 24 13:10:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(569)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(568)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(570)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(568): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(569): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(570): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Mon Jun 24 13:10:14 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3692531) Waiting for packet dumper to finish... 1 (prev_count=3692531, count=3693030) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Mon Jun 24 13:10:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(572)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(573)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(571): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(572): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(573): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Mon Jun 24 13:10:24 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5152553) Waiting for packet dumper to finish... 1 (prev_count=5152553, count=5153052) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Mon Jun 24 13:10:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(575)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(576)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(574): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(575): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(576): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Mon Jun 24 13:10:32 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4532757) Waiting for packet dumper to finish... 1 (prev_count=4532757, count=4533384) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Mon Jun 24 13:10:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(578)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(577)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(577): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(578): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Mon Jun 24 13:10:40 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2775322) Waiting for packet dumper to finish... 1 (prev_count=2775322, count=2775821) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Mon Jun 24 13:10:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(583)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(583)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(583)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(583)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(581)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(580)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(582)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(580): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(581): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(582): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(583): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Mon Jun 24 13:10:49 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3379343) Waiting for packet dumper to finish... 1 (prev_count=3379343, count=3379842) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Mon Jun 24 13:10:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(587)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(587)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(587)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(587)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(585)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(586)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(584)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(584): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(585): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(586): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_double_act(587): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Mon Jun 24 13:10:54 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1923645) Waiting for packet dumper to finish... 1 (prev_count=1923645, count=1924144) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Mon Jun 24 13:10:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact(591)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(591)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(591)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(589)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(588)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(590)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(588): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(589): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(590): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(591): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Mon Jun 24 13:11:03 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3270062) Waiting for packet dumper to finish... 1 (prev_count=3270062, count=3270817) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Mon Jun 24 13:11:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack(595)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(595)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(595)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(595)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(594)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(592): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(593): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(594): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(595): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Mon Jun 24 13:11:08 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1927854) Waiting for packet dumper to finish... 1 (prev_count=1927854, count=1928353) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Mon Jun 24 13:11:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Checking timeslot #2 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #1 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #3 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #0 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #4 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Checking timeslot #6 of trx#3: { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(597)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(596)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(598)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(596): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(597): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(598): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Mon Jun 24 13:11:14 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1892991) Waiting for packet dumper to finish... 1 (prev_count=1892991, count=1893490) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Mon Jun 24 13:11:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL-IPA(599)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL(600)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(601)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(599): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(600): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(601): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Mon Jun 24 13:11:20 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1899799) Waiting for packet dumper to finish... 1 (prev_count=1899799, count=1900298) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Mon Jun 24 13:11:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL-IPA(602)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL(603)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(604)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(602): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(603): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(604): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Mon Jun 24 13:11:25 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1899538) Waiting for packet dumper to finish... 1 (prev_count=1899538, count=1900037) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Mon Jun 24 13:11:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL-IPA(605)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL(606)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(607)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(605): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(606): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(607): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Mon Jun 24 13:11:31 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1909128) Waiting for packet dumper to finish... 1 (prev_count=1909128, count=1909627) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Mon Jun 24 13:11:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Warning: Re-starting timer T, which is already active (running or expired). MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(609)@7d7cf4e78c84: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(608)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(608): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_pcu_interf_ind-RSL(609): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Mon Jun 24 13:11:41 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5827051) Waiting for packet dumper to finish... 1 (prev_count=5827051, count=5832226) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Mon Jun 24 13:11:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(614)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(614)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(612)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(613)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(611): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(612): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(613): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(614): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Mon Jun 24 13:11:47 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1897061) Waiting for packet dumper to finish... 1 (prev_count=1897061, count=1897560) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Mon Jun 24 13:11:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(618)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(618)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(618)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchf_act-RSL(616)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(617)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(615): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(616): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(617): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(618): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Mon Jun 24 13:11:53 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1908490) Waiting for packet dumper to finish... 1 (prev_count=1908490, count=1908989) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Mon Jun 24 13:11:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(622)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(622)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(622)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(622)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(620)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(621)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(619): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(620): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(621): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(622): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Mon Jun 24 13:11:58 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1976541) Waiting for packet dumper to finish... 1 (prev_count=1976541, count=1977040) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Mon Jun 24 13:12:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(626)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(624)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(625)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(623): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(624): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(625): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(626): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Mon Jun 24 13:12:04 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1997762) Waiting for packet dumper to finish... 1 (prev_count=1997762, count=1998261) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Mon Jun 24 13:12:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(630)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(631)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(630)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(630)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(631)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(631)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(630)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(631)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(628)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(629)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(627): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(628): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(629): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(630): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(631): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Mon Jun 24 13:12:11 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2753056) Waiting for packet dumper to finish... 1 (prev_count=2753056, count=2758231) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Mon Jun 24 13:12:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(635)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(636)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(637)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(641)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(637)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(637)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(635)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(635)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(637)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(636)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(636)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(635)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(636)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(638)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(641)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(639)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(639)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(642)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(638)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(640)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(642)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(640)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(634)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(632): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(633): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(634): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(635): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(636): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(637): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(638): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(639): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(640): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(641): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(642): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Mon Jun 24 13:12:18 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2847284) Waiting for packet dumper to finish... 1 (prev_count=2847284, count=2847783) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Mon Jun 24 13:12:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act(646)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(646)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(646)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(646)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(645)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL(644)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(643): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(644): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(645): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(646): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Mon Jun 24 13:12:24 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1914123) Waiting for packet dumper to finish... 1 (prev_count=1914123, count=1914622) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Mon Jun 24 13:12:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(650)@7d7cf4e78c84: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(650)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(650)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(650)@7d7cf4e78c84: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(649)@7d7cf4e78c84: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(647): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(648): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(649): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(650): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Mon Jun 24 13:12:29 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1932610) Waiting for packet dumper to finish... 1 (prev_count=1932610, count=1933109) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Mon Jun 24 13:12:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(652)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_est_ind-RSL(652)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(654)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(654)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(655)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(655)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(656)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(656)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(657)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(657)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(658)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(658)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(659)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(659)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(660)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(660)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(661)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(661)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(662)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(662)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(663)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(663)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(664)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(664)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(665)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(665)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(666)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(666)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_ind(667)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(667)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(667)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(668)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(668)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(668)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(669)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(669)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(669)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(670)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(670)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(670)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(671)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(671)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(671)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(672)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(672)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(672)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(673)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(673)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(673)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(674)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(674)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(674)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(675)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(675)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(675)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(676)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(676)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(676)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(677)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(677)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(677)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(678)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(678)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(678)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(679)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(679)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(679)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(680)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(680)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(680)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_ind(681)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_ind(681)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(681)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_ind-RSL(652)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(651)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(653)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind-RSL-IPA(651): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind-RSL(652): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(653): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(654): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(655): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(656): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(657): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(667): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(668): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(669): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(670): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(671): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(672): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(673): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(674): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(675): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(676): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(677): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(678): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(679): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(680): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_ind(681): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_est_ind finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Mon Jun 24 13:13:18 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=43746897) Waiting for packet dumper to finish... 1 (prev_count=43746897, count=43747396) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Mon Jun 24 13:13:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(685)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(685)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(685)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(685)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(685)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(686)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(687)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(687)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(687)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(687)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(687)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_DCCH_3(688)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(688)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(688)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(688)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(683)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(682)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(684)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(682): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(683): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(684): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3(685): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3(686): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3(687): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_DCCH_3(688): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Mon Jun 24 13:13:24 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2394668) Waiting for packet dumper to finish... 1 (prev_count=2394668, count=2395167) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Mon Jun 24 13:13:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(692)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(693)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(694)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(695)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(690)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(689)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(691)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(689): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(690): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(691): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3(692): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3(693): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3(694): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_est_req_ACCH_3(695): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Mon Jun 24 13:13:32 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4178573) Waiting for packet dumper to finish... 1 (prev_count=4178573, count=4179200) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Mon Jun 24 13:13:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(699)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(700)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(701)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(702)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_0-RSL(697)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(698)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(696)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(696): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(697): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(698): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0(699): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0(700): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0(701): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_0(702): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Mon Jun 24 13:13:39 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3136404) Waiting for packet dumper to finish... 1 (prev_count=3136404, count=3136903) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Mon Jun 24 13:13:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(706)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(707)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(708)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(709)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(704)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(705)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(703)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(703): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(704): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(705): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3(706): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3(707): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3(708): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_DCCH_3(709): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Mon Jun 24 13:13:46 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3124651) Waiting for packet dumper to finish... 1 (prev_count=3124651, count=3125587) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Mon Jun 24 13:13:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(713)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(714)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(715)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(716)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_0-RSL(711)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(710)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(712)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(710): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(711): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(712): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0(713): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0(714): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0(715): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_0(716): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass'. Mon Jun 24 13:13:59 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9334057) Waiting for packet dumper to finish... 1 (prev_count=9334057, count=9334556) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Mon Jun 24 13:14:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(720)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(721)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(722)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(723)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_ACCH_3-RSL(718)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(719)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(717)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(717): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(718): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(719): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3(720): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3(721): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3(722): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_ind_ACCH_3(723): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass'. Mon Jun 24 13:14:12 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_ACCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9332232) Waiting for packet dumper to finish... 1 (prev_count=9332232, count=9337407) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Mon Jun 24 13:14:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_req-RSL(725)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_rel_req-RSL(725)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(727)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(727)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(727)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(728)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(728)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(728)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(729)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(729)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(729)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(729)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(730)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(730)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(730)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(731)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(731)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(731)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(732)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(732)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(732)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(733)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(733)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(733)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_rel_req(734)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(734)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(734)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(735)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(735)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(735)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(735)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(735)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(735)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(736)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(736)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(736)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(737)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(737)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(737)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(737)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(737)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(738)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(738)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(738)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(739)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(739)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(739)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(739)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(739)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(739)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(740)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(740)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(740)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(741)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(741)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(741)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(741)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(741)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_rel_req(742)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(742)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(742)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_req-RSL(725)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(726)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_rel_req-RSL-IPA(724)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req-RSL-IPA(724): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req-RSL(725): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(726): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(727): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(728): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(729): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(730): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(731): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(732): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(733): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(734): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(735): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(736): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(737): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(738): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(739): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(740): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(741): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_rel_req(742): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_rel_req finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass'. Mon Jun 24 13:14:37 UTC 2024 ====== BTS_Tests.TC_rll_rel_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20865763) Waiting for packet dumper to finish... 1 (prev_count=20865763, count=20870938) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Mon Jun 24 13:14:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(746)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(746)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(746)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(746)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(746)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(747)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(747)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(747)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(747)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(747)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(748)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_DCCH(749)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(749)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(749)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(749)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(750)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(750)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(750)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(750)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(751)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(751)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(751)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(751)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(752)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(752)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(752)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(752)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '3EEA414E5E64F6AEA707D8A5331F85'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_DCCH(753)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(753)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '3EEA414E5E64F6AEA707D8A5331F85'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(753)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(753)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(744)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(745)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(743)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(743): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(744): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(745): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(746): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(747): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(748): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(749): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(750): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(751): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(752): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_DCCH(753): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Mon Jun 24 13:14:44 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3273364) Waiting for packet dumper to finish... 1 (prev_count=3273364, count=3273863) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Mon Jun 24 13:14:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(757)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(758)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(759)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(760)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(761)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(762)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(763)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '78F51905DDC7C624B691ADA9DE6C5E53CC259A'O } } TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(764)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL-IPA(754)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL(755)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(756)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(754): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(755): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(756): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(757): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(758): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(759): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(760): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(761): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(762): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(763): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_req_ACCH(764): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Mon Jun 24 13:14:53 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6055388) Waiting for packet dumper to finish... 1 (prev_count=6055388, count=6055887) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Mon Jun 24 13:14:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(768)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(768)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(768)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(768)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(769)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(769)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(769)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(769)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(770)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(770)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(770)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(770)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_DCCH(771)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(771)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(771)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(772)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(772)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(772)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(773)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(773)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(773)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(774)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(774)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(774)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '2B7EE41C8E5597612D57AB2BEA0D82F22FCB56F8'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_DCCH(775)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(775)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(775)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(766)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(767)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(765)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(765): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(766): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(767): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(768): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(769): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(770): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(771): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(772): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(773): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(774): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_DCCH(775): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Mon Jun 24 13:15:00 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3121191) Waiting for packet dumper to finish... 1 (prev_count=3121191, count=3121690) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Mon Jun 24 13:15:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(779)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(779)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(779)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(779)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(780)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(780)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(780)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(780)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(781)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(781)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(781)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(781)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_rll_unit_data_ind_ACCH(782)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(782)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(782)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(783)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(783)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(783)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(784)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(784)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(784)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(785)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(785)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(785)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '69390B9C7D6A85924E832545005AC008C44F'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_rll_unit_data_ind_ACCH(786)@7d7cf4e78c84: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(786)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(786)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(777)@7d7cf4e78c84: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(776)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(778)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(776): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(777): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(778): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(779): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(780): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(781): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(782): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(783): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(784): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(785): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rll_unit_data_ind_ACCH(786): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Mon Jun 24 13:15:13 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9330368) Waiting for packet dumper to finish... 1 (prev_count=9330368, count=9330867) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Mon Jun 24 13:15:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_a51-RSL(788)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_a51-RSL(788)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(790)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a51(790)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a51(790)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(790)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(790)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(790)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a51(791)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a51(791)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a51(791)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(791)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(791)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(791)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(792)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a51(792)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a51(792)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(792)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(792)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(792)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a51(793)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a51(793)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a51(793)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a51(793)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(793)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(788)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(787)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(789)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51-RSL-IPA(787): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51-RSL(788): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(789): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51(790): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51(791): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51(792): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a51(793): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_a51 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Mon Jun 24 13:15:20 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2904070) Waiting for packet dumper to finish... 1 (prev_count=2904070, count=2904569) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Mon Jun 24 13:15:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a52-RSL(795)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(797)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a52(797)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(797)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a52(797)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(797)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(797)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(797)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a52(798)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a52(798)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a52(798)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(798)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(798)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(798)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(799)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a52(799)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a52(799)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(799)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(799)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(799)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a52(800)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a52(800)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a52(800)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a52(800)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a52(800)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(800)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(795)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(796)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(794)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52-RSL-IPA(794): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52-RSL(795): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(796): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52(797): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52(798): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52(799): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a52(800): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_a52 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Mon Jun 24 13:15:27 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2917524) Waiting for packet dumper to finish... 1 (prev_count=2917524, count=2922699) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Mon Jun 24 13:15:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a53-RSL(802)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(804)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a53(804)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a53(804)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(804)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(804)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(804)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a53(805)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a53(805)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a53(805)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(805)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(805)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(805)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(806)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a53(806)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a53(806)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(806)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(806)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(806)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a53(807)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a53(807)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(807)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a53(807)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a53(807)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(807)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(802)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(801)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(803)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53-RSL-IPA(801): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53-RSL(802): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(803): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53(804): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53(805): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53(806): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a53(807): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_a53 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Mon Jun 24 13:15:33 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2907630) Waiting for packet dumper to finish... 1 (prev_count=2907630, count=2908129) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Mon Jun 24 13:15:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a54-RSL(809)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(811)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a54(811)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(811)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a54(811)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(811)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(811)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(811)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_chan_act_a54(812)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a54(812)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(812)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a54(812)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(812)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(812)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(812)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(813)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a54(813)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a54(813)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(813)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(813)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(813)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_chan_act_a54(814)@7d7cf4e78c84: setverdict(pass): none -> pass TC_chan_act_a54(814)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(814)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a54(814)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := '1DA1C719E42B759557A58E61CB5C2029CB3D9D58'O, padding := ''O } } TC_chan_act_a54(814)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(814)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(809)@7d7cf4e78c84: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(808)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(810)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54-RSL-IPA(808): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54-RSL(809): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(810): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54(811): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54(812): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54(813): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_chan_act_a54(814): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_chan_act_a54 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Mon Jun 24 13:15:40 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2907451) Waiting for packet dumper to finish... 1 (prev_count=2907451, count=2907950) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Mon Jun 24 13:15:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(818)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(819)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(820)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(821)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(816)@7d7cf4e78c84: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(815)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(817)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(815): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51-RSL(816): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(817): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51(818): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51(819): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51(820): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a51(821): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Mon Jun 24 13:15:49 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4848392) Waiting for packet dumper to finish... 1 (prev_count=4848392, count=4849019) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Mon Jun 24 13:15:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(825)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(826)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(827)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(828)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(823)@7d7cf4e78c84: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(822)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(824)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(822): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52-RSL(823): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(824): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52(825): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52(826): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52(827): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a52(828): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Mon Jun 24 13:15:57 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4857864) Waiting for packet dumper to finish... 1 (prev_count=4857864, count=4858491) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Mon Jun 24 13:16:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(832)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(833)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(834)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(835)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(830)@7d7cf4e78c84: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(829)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(831)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(829): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53-RSL(830): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(831): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53(832): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53(833): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53(834): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a53(835): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Mon Jun 24 13:16:06 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4860599) Waiting for packet dumper to finish... 1 (prev_count=4860599, count=4866211) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Mon Jun 24 13:16:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(839)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(840)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(841)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): none -> pass TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '29CB3D9D58042A94B20B1616E7D78F'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(842)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(837)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(838)@7d7cf4e78c84: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(836)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(836): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54-RSL(837): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(838): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54(839): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54(840): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54(841): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_encr_cmd_a54(842): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Mon Jun 24 13:16:15 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4881456) Waiting for packet dumper to finish... 1 (prev_count=4881456, count=4882083) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Mon Jun 24 13:16:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(846)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(844)@7d7cf4e78c84: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(843)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(845)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(843): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(844): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(845): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_mdisc(846): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Mon Jun 24 13:16:20 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1894917) Waiting for packet dumper to finish... 1 (prev_count=1894917, count=1895416) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Mon Jun 24 13:16:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(850)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(851)@7d7cf4e78c84: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(851)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type-RSL(848)@7d7cf4e78c84: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(847)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(849)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(847): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(848): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(849): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_msg_type(850): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_msg_type(851): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Mon Jun 24 13:16:26 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1913893) Waiting for packet dumper to finish... 1 (prev_count=1913893, count=1919505) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Mon Jun 24 13:16:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(855)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(854)@7d7cf4e78c84: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL(853)@7d7cf4e78c84: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(852)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(852): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(853): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(854): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_err_rep_wrong_sequence(855): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Mon Jun 24 13:16:32 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1874820) Waiting for packet dumper to finish... 1 (prev_count=1874820, count=1879995) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Mon Jun 24 13:16:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_lapdm_selftest started. MTC@7d7cf4e78c84: "ui_s0_empty": matched MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "ui_s3_empty": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "sabm_s0_empty": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "sabm_s0_l3": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "rr_s0_7": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "I/0/0": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: "I/7/0": matched MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: No PTCs were created. MTC@7d7cf4e78c84: Test case TC_lapdm_selftest finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Mon Jun 24 13:16:36 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=993290) Waiting for packet dumper to finish... 1 (prev_count=993290, count=1094933) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Mon Jun 24 13:16:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(859)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(859)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(860)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(860)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(861)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(861)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(862)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(862)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(862)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(863)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(863)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(863)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(864)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(864)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(864)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(865)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(865)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(865)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(866)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(866)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(866)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(867)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(867)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(867)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(868)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(868)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(868)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(869)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(869)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(869)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(870)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(870)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(870)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(871)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(871)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(871)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(872)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(872)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(872)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(873)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(873)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(873)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(874)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(874)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(874)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(875)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(875)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(875)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(876)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(876)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(876)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(877)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(877)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(877)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(878)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(878)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(878)@7d7cf4e78c84: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(857)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(858)@7d7cf4e78c84: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(856)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(856): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(857): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(858): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(859): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(860): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(861): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(862): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(863): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(864): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(865): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(866): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(867): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(868): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(869): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(870): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(871): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(872): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(873): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(874): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(875): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(876): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(877): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame(878): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Mon Jun 24 13:16:59 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17670671) Waiting for packet dumper to finish... 1 (prev_count=17670671, count=17671170) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Mon Jun 24 13:17:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(882)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(882)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(882)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(883)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(883)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(883)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(884)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(884)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(884)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(885)@7d7cf4e78c84: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(885)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(885)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(880)@7d7cf4e78c84: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(881)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(879): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(880): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(881): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(882): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(883): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(884): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@7d7cf4e78c84: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(885): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@7d7cf4e78c84: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Mon Jun 24 13:17:06 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2879757) Waiting for packet dumper to finish... 1 (prev_count=2879757, count=2880693) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Mon Jun 24 13:17:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chopped_ipa_ping started. 886@7d7cf4e78c84: sending byte '00'O 886@7d7cf4e78c84: sending byte '01'O 886@7d7cf4e78c84: sending byte 'FE'O 886@7d7cf4e78c84: sending byte '00'O 886@7d7cf4e78c84: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 886@7d7cf4e78c84: received pong from "172.18.108.20" port 37591: '0001FE01'O 886@7d7cf4e78c84: setverdict(pass): none -> pass 886@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC with component reference 886: pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Mon Jun 24 13:17:35 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11710093) Waiting for packet dumper to finish... 1 (prev_count=11710093, count=11710592) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Mon Jun 24 13:17:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_chopped_ipa_payload started. 887@7d7cf4e78c84: sending byte '04'O 887@7d7cf4e78c84: sending byte '01'O 887@7d7cf4e78c84: sending byte '08'O ("\b") 887@7d7cf4e78c84: sending byte '01'O 887@7d7cf4e78c84: sending byte '07'O ("\a") 887@7d7cf4e78c84: sending byte '01'O 887@7d7cf4e78c84: sending byte '02'O 887@7d7cf4e78c84: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 887@7d7cf4e78c84: received IPA message from "172.18.108.20" port 33819: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A36633A313400000202000001FE06'O 887@7d7cf4e78c84: setverdict(pass): none -> pass 887@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC with component reference 887: pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Mon Jun 24 13:18:22 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19953026) Waiting for packet dumper to finish... 1 (prev_count=19953026, count=19958201) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Mon Jun 24 13:18:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(891)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(892)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(893)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(894)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(889)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(890)@7d7cf4e78c84: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(888)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(888): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(889): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(890): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant(891): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant(892): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant(893): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_constant(894): pass (fail -> fail) MTC@7d7cf4e78c84: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Mon Jun 24 13:18:43 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17184575) Waiting for packet dumper to finish... 1 (prev_count=17184575, count=17185074) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Mon Jun 24 13:18:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(898)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(899)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(900)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(901)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(896)@7d7cf4e78c84: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(897)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(895): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(896): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(897): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(898): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(899): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(900): pass (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(901): pass (fail -> fail) MTC@7d7cf4e78c84: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Mon Jun 24 13:19:20 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=32606564) Waiting for packet dumper to finish... 1 (prev_count=32606564, count=32607063) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Mon Jun 24 13:19:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 546, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 550, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(905)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1005, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1009, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1014, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1018, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(906)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1464, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1469, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1473, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1477, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1482, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(907)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(903)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(902)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(904)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(902): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(903): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(904): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchf(905): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchf(906): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchf(907): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Mon Jun 24 13:19:32 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9260811) Waiting for packet dumper to finish... 1 (prev_count=9260811, count=9265986) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Mon Jun 24 13:19:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 550, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(911)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1005, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1009, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1014, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1018, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(912)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(909)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(910)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(908)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(908): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(909): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(910): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchh(911): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_no_rtp_tchh(912): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Mon Jun 24 13:19:42 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6546479) Waiting for packet dumper to finish... 1 (prev_count=6546479, count=6551654) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Mon Jun 24 13:19:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(916)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(916)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_rtp_tchf(916)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(916)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(916)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(916)@7d7cf4e78c84: TCH received (len=33): 'D01DA1C719E42BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(916)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(916)@7d7cf4e78c84: TCH received (len=33): 'D01DA1C719E42BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(916)@7d7cf4e78c84: TCH received (len=33): 'D01DA1C719E42BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(916)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(916)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_rtp_tchf(918)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(918)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_rtp_tchf(918)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(918)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(918)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(918)@7d7cf4e78c84: TCH received (len=31): 'C01DA1C719E42B000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(918)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(918)@7d7cf4e78c84: TCH received (len=31): 'C01DA1C719E42B000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(918)@7d7cf4e78c84: TCH received (len=31): 'C01DA1C719E42B000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(918)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(918)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_rtp_tchf(920)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(920)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_rtp_tchf(920)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(920)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(920)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(920)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchf(920)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(920)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchf(920)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchf(920)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(920)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RSL(914)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(921)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(917)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(919)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(913)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(915)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(913): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf-RSL(914): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(915): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf(916): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(917): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf(918): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(919): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf(920): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(921): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Mon Jun 24 13:19:54 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9245804) Waiting for packet dumper to finish... 1 (prev_count=9245804, count=9246303) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Mon Jun 24 13:19:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(925)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_rtp_tchh(925)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(925)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(925)@7d7cf4e78c84: TCH received (len=15): '001DA1C719E42B0000000000000000'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(925)@7d7cf4e78c84: TCH received (len=15): '001DA1C719E42B0000000000000000'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: TCH received (len=15): '001DA1C719E42B0000000000000000'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: TCH received (len=15): '001DA1C719E42B0000000000000000'O TC_speech_rtp_tchh(925)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(925)@7d7cf4e78c84: Final verdict of PTC: pass TC_speech_rtp_tchh(927)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(927)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_rtp_tchh(927)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(927)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(927)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(927)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchh(927)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(927)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchh(927)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchh(927)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_rtp_tchh(927)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(927)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(923)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(922)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(924)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(926)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(928)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(922): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh-RSL(923): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(924): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh(925): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(926): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh(927): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(928): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Mon Jun 24 13:20:03 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6599467) Waiting for packet dumper to finish... 1 (prev_count=6599467, count=6599966) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Mon Jun 24 13:20:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_osmux_tchf(932)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(932)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: got '1DA1C719E42B000000000000000000'O vs exp '1DA1C719E42B000000000000000000'O TC_speech_osmux_tchf(932)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(932)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(930)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(929)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(931)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(933)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(929): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchf-RSL(930): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(931): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchf(932): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(933): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Mon Jun 24 13:20:11 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4407942) Waiting for packet dumper to finish... 1 (prev_count=4407942, count=4413117) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Mon Jun 24 13:20:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: setverdict(pass): none -> pass TC_speech_osmux_tchh(937)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(937)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: TCH received (len=17): '20141DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: got '1DA1C719E42B000000000000000000'O vs exp '1DA1C719E42B000000000000000000'O TC_speech_osmux_tchh(937)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(937)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8607 TC_speech_osmux_tchh-RSL-IPA(934)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_osmux_tchh-RSL(935)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(936)@7d7cf4e78c84: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(938)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(934): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchh-RSL(935): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(936): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchh(937): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(938): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Mon Jun 24 13:20:19 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4303518) Waiting for packet dumper to finish... 1 (prev_count=4303518, count=4304017) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Mon Jun 24 13:20:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(942)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(942)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf144(942)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(943)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(942)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(942)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@7d7cf4e78c84: Test Component 942 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(940)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf144(942)@7d7cf4e78c84: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL-IPA(939)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(943)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(941)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(939): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf144-RSL(940): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(941): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf144(942): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(943): none (fail -> fail) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Mon Jun 24 13:20:25 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1957095) Waiting for packet dumper to finish... 1 (prev_count=1957095, count=1957594) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Mon Jun 24 13:20:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(947)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf96(947)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(948)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(947)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(947)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(947)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(948)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(947)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(947)@7d7cf4e78c84: Final verdict of PTC: pass TC_data_rtp_tchf96(949)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(949)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf96(949)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(950)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(949)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(949)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(949)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(950)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(949)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(949)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(945)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(948)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(946)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(950)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(944)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(944): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96-RSL(945): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(946): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96(947): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(948): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96(949): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(950): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Mon Jun 24 13:20:31 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2894968) Waiting for packet dumper to finish... 1 (prev_count=2894968, count=2900143) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Mon Jun 24 13:20:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(954)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf48(954)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(955)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(954)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(954)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(954)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(955)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(954)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(954)@7d7cf4e78c84: Final verdict of PTC: pass TC_data_rtp_tchf48(956)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(956)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf48(956)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(957)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(956)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(956)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(956)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(957)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(956)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(956)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(952)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(953)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(957)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(955)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(951)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(951): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48-RSL(952): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(953): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48(954): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(955): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48(956): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(957): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Mon Jun 24 13:20:38 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2913275) Waiting for packet dumper to finish... 1 (prev_count=2913275, count=2913774) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Mon Jun 24 13:20:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(961)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchh48(961)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(962)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(961)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(961)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(961)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(962)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(961)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(961)@7d7cf4e78c84: Final verdict of PTC: pass TC_data_rtp_tchh48(963)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(963)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchh48(963)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(964)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(963)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(963)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(963)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(964)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(963)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(963)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(959)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(958)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(960)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(962)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(964)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(958): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48-RSL(959): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(960): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48(961): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(962): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48(963): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(964): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Mon Jun 24 13:20:45 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3110559) Waiting for packet dumper to finish... 1 (prev_count=3110559, count=3111058) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Mon Jun 24 13:20:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(968)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf24(968)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(969)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(968)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(968)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(968)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(969)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(968)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(968)@7d7cf4e78c84: Final verdict of PTC: pass TC_data_rtp_tchf24(970)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(970)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchf24(970)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(971)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(970)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(970)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(970)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(971)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(970)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(970)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RTPEM(969)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(971)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(967)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf24-RSL(966)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(965)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(965): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24-RSL(966): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(967): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24(968): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(969): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24(970): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(971): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Mon Jun 24 13:20:51 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2515420) Waiting for packet dumper to finish... 1 (prev_count=2515420, count=2515919) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Mon Jun 24 13:20:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(975)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchh24(975)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(976)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(975)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(975)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(975)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(976)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(975)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(975)@7d7cf4e78c84: Final verdict of PTC: pass TC_data_rtp_tchh24(977)@7d7cf4e78c84: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchh24(977)@7d7cf4e78c84: setverdict(pass): none -> pass TC_data_rtp_tchh24(977)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(978)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(977)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(977)@7d7cf4e78c84: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: TCH received (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(977)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(978)@7d7cf4e78c84: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(977)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(977)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8810 TC_data_rtp_tchh24-RSL(973)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(976)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(972)@7d7cf4e78c84: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(978)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(974)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(972): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24-RSL(973): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(974): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24(975): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(976): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24(977): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(978): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_data_rtp_tchh24 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass'. Mon Jun 24 13:20:57 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh24 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3104908) Waiting for packet dumper to finish... 1 (prev_count=3104908, count=3105407) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Mon Jun 24 13:21:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(982)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(982)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 14, t2 := 12 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(982)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_early_immediate_assignment(982)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(982)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(982)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(983)@7d7cf4e78c84: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(983)@7d7cf4e78c84: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(983)@7d7cf4e78c84: Received IMM.ASS for our RACH! TC_early_immediate_assignment(983)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(983)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(983)@7d7cf4e78c84: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL(980)@7d7cf4e78c84: Final verdict of PTC: none TC_early_immediate_assignment-RSL-IPA(979)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(981)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(979): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_early_immediate_assignment-RSL(980): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(981): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_early_immediate_assignment(982): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Local verdict of PTC TC_early_immediate_assignment(983): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@7d7cf4e78c84: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Mon Jun 24 13:21:05 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4023415) Waiting for packet dumper to finish... 1 (prev_count=4023415, count=4024351) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Mon Jun 24 13:21:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 1, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[4] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[5] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 4, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[6] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 3, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Executing step[7] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 2, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh(987)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":9085 TC_acch_overpower_rxqual_thresh-RSL(985)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(986)@7d7cf4e78c84: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(984)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(984): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(985): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(986): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh(987): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass'. Mon Jun 24 13:21:29 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14517955) Waiting for packet dumper to finish... 1 (prev_count=14517955, count=14518454) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Mon Jun 24 13:21:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '1'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Executing step[3] := { meas_res := { ba_used := '0'B, dtx_used := '1'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 7, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 4 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_rxqual_thresh_dtx(991)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":9126 TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988)@7d7cf4e78c84: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL(989)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(990)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(988): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(989): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(990): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(991): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass'. Mon Jun 24 13:21:47 UTC 2024 ====== BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9021630) Waiting for packet dumper to finish... 1 (prev_count=9021630, count=9026805) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Mon Jun 24 13:21:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_facch(995)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":9158 TC_acch_overpower_always_on_facch-RSL(993)@7d7cf4e78c84: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(992)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(994)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(992): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(993): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(994): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_facch(995): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_acch_overpower_always_on_facch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass'. Mon Jun 24 13:22:02 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_facch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7836889) Waiting for packet dumper to finish... 1 (prev_count=7836889, count=7837388) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Mon Jun 24 13:22:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_always_on_sacch(999)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":9190 TC_acch_overpower_always_on_sacch-RSL(997)@7d7cf4e78c84: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(996)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(998)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(996): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(997): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(998): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_always_on_sacch(999): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_acch_overpower_always_on_sacch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass'. Mon Jun 24 13:22:18 UTC 2024 ====== BTS_Tests.TC_acch_overpower_always_on_sacch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7855363) Waiting for packet dumper to finish... 1 (prev_count=7855363, count=7855862) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Mon Jun 24 13:22:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(1003)@7d7cf4e78c84: RxLev(BCCH) := 30 TC_acch_overpower_limit(1003)@7d7cf4e78c84: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): none -> pass TC_acch_overpower_limit(1003)@7d7cf4e78c84: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: Executing step[1] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 0, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1003)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: Executing step[2] := { meas_res := { ba_used := '0'B, dtx_used := '0'B, rxlev_full_srv_cell := 63, threeg_ba_used := '0'B, meas_valid := '0'B, rxlev_sub_srv_cell := 63, si23_ba_used := '0'B, rxqual_full_srv_cell := 7, rxqual_sub_srv_cell := 0, no_ncell_m := 0, ncell_reports := omit }, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(1003)@7d7cf4e78c84: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_acch_overpower_limit(1003)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":9221 TC_acch_overpower_limit-RSL(1001)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1002)@7d7cf4e78c84: Final verdict of PTC: none TC_acch_overpower_limit-RSL-IPA(1000)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(1000): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_limit-RSL(1001): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_acch_overpower_limit(1003): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_acch_overpower_limit finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass'. Mon Jun 24 13:22:34 UTC 2024 ====== BTS_Tests.TC_acch_overpower_limit pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7852860) Waiting for packet dumper to finish... 1 (prev_count=7852860, count=7853359) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit pass' was executed successfully (exit status: 0). MC@7d7cf4e78c84: Test execution finished. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Mon Jun 24 13:22:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(1005)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1006)@7d7cf4e78c84: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(1004)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(1004): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(1005): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1006): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Mon Jun 24 13:22:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6365933) Waiting for packet dumper to finish... 1 (prev_count=6365933, count=6366432) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Mon Jun 24 13:22:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 14, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL(1008)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1009)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(1007): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(1008): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1009): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Mon Jun 24 13:23:08 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9939247) Waiting for packet dumper to finish... 1 (prev_count=9939247, count=9939746) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Mon Jun 24 13:23:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(1011)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1012)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(1010): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(1011): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1012): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Mon Jun 24 13:23:26 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10006974) Waiting for packet dumper to finish... 1 (prev_count=10006974, count=10012149) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Mon Jun 24 13:23:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(1014)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1015)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(1013): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(1014): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1015): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Mon Jun 24 13:23:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9995423) Waiting for packet dumper to finish... 1 (prev_count=9995423, count=9995922) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Mon Jun 24 13:23:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 12, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(1017)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1018)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(1016): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(1017): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1018): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Mon Jun 24 13:24:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9956806) Waiting for packet dumper to finish... 1 (prev_count=9956806, count=9957305) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Mon Jun 24 13:24:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1020)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1021)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1019): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1020): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1021): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Mon Jun 24 13:24:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15108917) Waiting for packet dumper to finish... 1 (prev_count=15108917, count=15109416) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Mon Jun 24 13:24:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 14, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1023)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1024)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1022): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1023): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1024): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Mon Jun 24 13:24:47 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9954672) Waiting for packet dumper to finish... 1 (prev_count=9954672, count=9955171) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Mon Jun 24 13:24:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1026)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1027)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1025): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1026): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1027): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Mon Jun 24 13:25:08 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11716580) Waiting for packet dumper to finish... 1 (prev_count=11716580, count=11717079) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Mon Jun 24 13:25:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 14, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1030)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1028): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1029): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1030): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Mon Jun 24 13:25:29 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12580054) Waiting for packet dumper to finish... 1 (prev_count=12580054, count=12580553) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Mon Jun 24 13:25:33 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3347, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1033)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1031): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1032): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1033): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Mon Jun 24 13:26:00 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20437314) Waiting for packet dumper to finish... 1 (prev_count=20437314, count=20437813) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Mon Jun 24 13:26:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1035)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1036)@7d7cf4e78c84: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1034)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1034): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1035): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1036): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Mon Jun 24 13:26:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7532306) Waiting for packet dumper to finish... 1 (prev_count=7532306, count=7532805) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Mon Jun 24 13:26:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1038)@7d7cf4e78c84: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1037)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1039)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1037): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1038): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1039): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Mon Jun 24 13:26:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8578833) Waiting for packet dumper to finish... 1 (prev_count=8578833, count=8579332) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Mon Jun 24 13:26:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_1block started. TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1041)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1042)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1040): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1041): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1042): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Mon Jun 24 13:27:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9937385) Waiting for packet dumper to finish... 1 (prev_count=9937385, count=9942560) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Mon Jun 24 13:27:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_2block started. TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1044)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1045)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1043): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1044): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1045): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Mon Jun 24 13:27:21 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9972602) Waiting for packet dumper to finish... 1 (prev_count=9972602, count=9973101) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Mon Jun 24 13:27:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_3block started. TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1047)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1048)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1046): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1047): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1048): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Mon Jun 24 13:27:39 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9896539) Waiting for packet dumper to finish... 1 (prev_count=9896539, count=9897038) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Mon Jun 24 13:27:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_4block started. TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1050)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1051)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1049): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1050): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1051): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Mon Jun 24 13:27:58 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9992415) Waiting for packet dumper to finish... 1 (prev_count=9992415, count=9992914) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Mon Jun 24 13:28:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_multi started. TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 1 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 2 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3119, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1053)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1054)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1052): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1053): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1054): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Mon Jun 24 13:28:23 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15255144) Waiting for packet dumper to finish... 1 (prev_count=15255144, count=15255643) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Mon Jun 24 13:28:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_schedule started. TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@7d7cf4e78c84: Found block_nr 3 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 25, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1056)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1057)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1055): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1056): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1057): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Mon Jun 24 13:28:41 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10041786) Waiting for packet dumper to finish... 1 (prev_count=10041786, count=10046961) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Mon Jun 24 13:28:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1059)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1060)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1058): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1059): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1060): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Mon Jun 24 13:29:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11739216) Waiting for packet dumper to finish... 1 (prev_count=11739216, count=11744391) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Mon Jun 24 13:29:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@7d7cf4e78c84: Found block_nr 0 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@7d7cf4e78c84: Found block_nr 1 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@7d7cf4e78c84: Found block_nr 2 of msg 0 MTC@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 1 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 2 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Found block_nr 3 of DEFAULT/NULL MTC@7d7cf4e78c84: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@7d7cf4e78c84: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@7d7cf4e78c84: Found block_nr 0 of DEFAULT/NULL MTC@7d7cf4e78c84: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062)@7d7cf4e78c84: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1063)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1061): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1062): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1063): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Mon Jun 24 13:29:24 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12557299) Waiting for packet dumper to finish... 1 (prev_count=12557299, count=12557798) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Mon Jun 24 13:29:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1065)@7d7cf4e78c84: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1064)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1066)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1064): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1065): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1066): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Mon Jun 24 13:29:44 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7560843) Waiting for packet dumper to finish... 1 (prev_count=7560843, count=7561342) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Mon Jun 24 13:29:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1068)@7d7cf4e78c84: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1067)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1069)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1067): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1068): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1069): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Mon Jun 24 13:30:07 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8615883) Waiting for packet dumper to finish... 1 (prev_count=8615883, count=8621058) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Mon Jun 24 13:30:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Quantity of received ETWS PN segments: { 20, 21, 21, 21 } MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1071)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1072)@7d7cf4e78c84: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1070)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1070): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_p1ro-RSL(1071): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1072): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_etws_p1ro finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Mon Jun 24 13:30:23 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11471068) Waiting for packet dumper to finish... 1 (prev_count=11471068, count=11471567) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Mon Jun 24 13:30:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1074)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1075)@7d7cf4e78c84: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1073)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1073): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_p1ro_end-RSL(1074): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1075): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Mon Jun 24 13:30:44 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15031779) Waiting for packet dumper to finish... 1 (prev_count=15031779, count=15032278) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Mon Jun 24 13:30:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1077)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1077)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_pcu-RSL(1077)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_etws_pcu-RSL(1077)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: setverdict(pass): none -> pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1077)@7d7cf4e78c84: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1076)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1078)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: pass MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_pcu-RSL-IPA(1076): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_etws_pcu-RSL(1077): none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1078): none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_etws_pcu finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Mon Jun 24 13:30:50 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1919518) Waiting for packet dumper to finish... 1 (prev_count=1919518, count=1920017) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@7d7cf4e78c84: Test execution finished. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Mon Jun 24 13:30:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1083@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1082)@7d7cf4e78c84: setverdict(pass): none -> pass 1083@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1083@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1083@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1083@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1082)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1083@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1082)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1083@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1082)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1085@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1084)@7d7cf4e78c84: setverdict(pass): none -> pass 1085@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1085@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1085@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1085@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1084)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1085@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1084)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1085@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1084)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1087@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1086)@7d7cf4e78c84: setverdict(pass): none -> pass 1087@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1087@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1087@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1087@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1086)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1087@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1086)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1087@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1086)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1089@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1088)@7d7cf4e78c84: setverdict(pass): none -> pass 1089@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1089@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1089@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1089@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1088)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1089@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1088)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1089@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1088)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1080)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1079)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1081)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1079): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1080): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1081): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1082): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1083: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1084): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1085: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1086): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1087: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1088): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1089: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Mon Jun 24 13:30:56 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3058285) Waiting for packet dumper to finish... 1 (prev_count=3058285, count=3063460) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Mon Jun 24 13:31:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1094@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1093)@7d7cf4e78c84: setverdict(pass): none -> pass 1094@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1094@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1094@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1094@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1093)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1094@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1093)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1094@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1093)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1096@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1095)@7d7cf4e78c84: setverdict(pass): none -> pass 1096@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1096@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1096@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1096@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1095)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1096@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1095)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1096@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1095)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1098@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1097)@7d7cf4e78c84: setverdict(pass): none -> pass 1098@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1098@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1098@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1098@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1097)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1098@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1097)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1098@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1097)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1100@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1099)@7d7cf4e78c84: setverdict(pass): none -> pass 1100@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1100@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1100@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1100@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1099)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1100@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1099)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1100@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1099)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1092)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1090): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1091): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1092): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1093): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1094: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1095): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1096: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1097): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1098: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1099): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1100: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Mon Jun 24 13:31:22 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22034229) Waiting for packet dumper to finish... 1 (prev_count=22034229, count=22034728) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Mon Jun 24 13:31:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1105@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1104)@7d7cf4e78c84: setverdict(pass): none -> pass 1105@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1105@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1105@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1105@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1105@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1104)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1105@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1104)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1107@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1106)@7d7cf4e78c84: setverdict(pass): none -> pass 1107@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1107@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1107@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1107@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1107@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1106)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1107@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1106)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1109@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1108)@7d7cf4e78c84: setverdict(pass): none -> pass 1109@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1109@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1109@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1109@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1109@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1108)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1109@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1108)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1111@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1110)@7d7cf4e78c84: setverdict(pass): none -> pass 1111@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1111@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1111@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1111@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1111@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1110)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1111@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1110)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1102)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1103)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1101)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1101): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1102): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1103): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1104): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1105: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1106): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1107: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1108): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1109: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1110): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1111: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Mon Jun 24 13:31:48 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22057056) Waiting for packet dumper to finish... 1 (prev_count=22057056, count=22057555) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Mon Jun 24 13:31:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1116@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1115)@7d7cf4e78c84: setverdict(pass): none -> pass 1116@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1116@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1116@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1116@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1116@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1115)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1116@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1115)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1118@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1117)@7d7cf4e78c84: setverdict(pass): none -> pass 1118@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1118@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1118@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1118@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1118@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1117)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1118@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1117)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1120@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1119)@7d7cf4e78c84: setverdict(pass): none -> pass 1120@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1120@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1120@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1120@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1120@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1119)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1120@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1119)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1122@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1121)@7d7cf4e78c84: setverdict(pass): none -> pass 1122@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1122@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1122@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1122@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1122@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1121)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1122@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1121)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1113)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1114)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1112)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1112): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1113): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1114): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1115): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1116: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1117): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1118: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1119): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1120: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1121): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1122: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Mon Jun 24 13:32:14 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21853512) Waiting for packet dumper to finish... 1 (prev_count=21853512, count=21854011) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Mon Jun 24 13:32:17 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_contention-RSL(1124)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1127@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1126)@7d7cf4e78c84: setverdict(pass): none -> pass 1127@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1127@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1127@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1127@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1127@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1126)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1127@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_contention(1126)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1129@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1128)@7d7cf4e78c84: setverdict(pass): none -> pass 1129@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1129@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1129@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1129@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1129@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1128)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1129@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_contention(1128)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1131@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1130)@7d7cf4e78c84: setverdict(pass): none -> pass 1131@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1131@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1131@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1131@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1131@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1130)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1131@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_contention(1130)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1133@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1132)@7d7cf4e78c84: setverdict(pass): none -> pass 1133@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1133@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1133@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1133@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1133@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1132)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1133@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_contention(1132)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1124)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1123)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1125)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention-RSL-IPA(1123): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention-RSL(1124): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1125): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention(1126): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1127: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention(1128): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1129: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention(1130): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1131: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_contention(1132): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1133: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_contention finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Mon Jun 24 13:32:40 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22022372) Waiting for packet dumper to finish... 1 (prev_count=22022372, count=22022871) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Mon Jun 24 13:32:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1138@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1137)@7d7cf4e78c84: setverdict(pass): none -> pass 1138@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1138@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1138@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1138@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1138@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1137)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1138@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit(1137)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1140@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1139)@7d7cf4e78c84: setverdict(pass): none -> pass 1140@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1140@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1140@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1140@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1140@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1139)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1140@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit(1139)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1142@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1141)@7d7cf4e78c84: setverdict(pass): none -> pass 1142@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1142@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1141)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1142@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit(1141)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1144@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1143)@7d7cf4e78c84: setverdict(pass): none -> pass 1144@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1144@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1144@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1144@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1144@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1143)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1144@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit(1143)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1135)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1134)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1136)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1134): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit-RSL(1135): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1136): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit(1137): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1138: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit(1139): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1140: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit(1141): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit(1143): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1144: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_retransmit finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Mon Jun 24 13:32:48 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4210013) Waiting for packet dumper to finish... 1 (prev_count=4210013, count=4210512) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Mon Jun 24 13:32:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1149@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1148)@7d7cf4e78c84: setverdict(pass): none -> pass 1149@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1148)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1149@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1148)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1149@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit_bts(1148)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1151@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1150)@7d7cf4e78c84: setverdict(pass): none -> pass 1151@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1151@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1151@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1151@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1150)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1151@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1150)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1151@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit_bts(1150)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1153@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1152)@7d7cf4e78c84: setverdict(pass): none -> pass 1153@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1152)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1153@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1152)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1153@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit_bts(1152)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1155@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1154)@7d7cf4e78c84: setverdict(pass): none -> pass 1155@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1155@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1155@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1155@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1154)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1155@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1154)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1155@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit_bts(1154)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1146)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1145)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1147)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1145): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1146): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1147): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts(1148): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts(1150): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1151: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts(1152): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_retransmit_bts(1154): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1155: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Mon Jun 24 13:34:14 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=81590891) Waiting for packet dumper to finish... 1 (prev_count=81590891, count=81591390) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Mon Jun 24 13:34:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1160@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): none -> pass 1160@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1160@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1160@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1160@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1160@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1160@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1161@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1161@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1161@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1161@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1161@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1161@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1161@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1162@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1162@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1162@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1162@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1162@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1162@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1159)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1162@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1159)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1164@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): none -> pass 1164@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1164@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1164@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1165@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1165@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1165@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1165@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1165@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1165@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1165@7d7cf4e78c84: Final verdict of PTC: none 1166@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1166@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1166@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1166@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1166@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1166@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1163)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1166@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1163)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1168@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): none -> pass 1168@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1168@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1168@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1168@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1168@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1168@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1169@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1169@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1169@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1169@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1169@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1169@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1169@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1170@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1170@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1170@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1170@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1170@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1170@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1167)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1170@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1167)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1172@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): none -> pass 1172@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1172@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1172@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1172@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1172@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1172@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1173@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1173@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1173@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1173@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1174@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1174@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1174@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1174@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1174@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1174@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1171)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1174@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp(1171)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1157)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1158)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1156)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1156): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp-RSL(1157): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1158): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp(1159): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1160: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1161: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1162: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp(1163): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1165: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1166: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp(1167): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1168: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1169: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1170: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_invalid_resp(1171): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1172: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1174: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Mon Jun 24 13:34:42 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19139234) Waiting for packet dumper to finish... 1 (prev_count=19139234, count=19144409) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Mon Jun 24 13:34:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_dm-RSL(1176)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1179@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1178)@7d7cf4e78c84: setverdict(pass): none -> pass 1179@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1179@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1179@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1179@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1178)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1179@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1178)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1179@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_dm(1178)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1181@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1180)@7d7cf4e78c84: setverdict(pass): none -> pass 1181@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1181@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1181@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1181@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1180)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1181@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1180)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1181@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_dm(1180)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1183@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1182)@7d7cf4e78c84: setverdict(pass): none -> pass 1183@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1183@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1183@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1183@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1182)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1183@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1182)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1183@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_dm(1182)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1185@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1184)@7d7cf4e78c84: setverdict(pass): none -> pass 1185@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1185@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1185@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1185@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1184)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1185@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1184)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1185@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_dm(1184)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1176)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1175)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1177)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm-RSL-IPA(1175): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm-RSL(1176): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1177): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm(1178): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1179: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm(1180): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1181: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm(1182): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1183: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_dm(1184): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1185: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_dm finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Mon Jun 24 13:35:00 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14661025) Waiting for packet dumper to finish... 1 (prev_count=14661025, count=14661524) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Mon Jun 24 13:35:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1190@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1189)@7d7cf4e78c84: setverdict(pass): none -> pass 1190@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1190@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1190@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1190@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1189)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1190@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1189)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1190@7d7cf4e78c84: Final verdict of PTC: none TC_establish_ign_first_sabm(1189)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1192@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1191)@7d7cf4e78c84: setverdict(pass): none -> pass 1192@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1192@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1192@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1192@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1191)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1192@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1191)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1192@7d7cf4e78c84: Final verdict of PTC: none TC_establish_ign_first_sabm(1191)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1194@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1193)@7d7cf4e78c84: setverdict(pass): none -> pass 1194@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1194@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1194@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1194@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1193)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1194@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1193)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1194@7d7cf4e78c84: Final verdict of PTC: none TC_establish_ign_first_sabm(1193)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1196@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1195)@7d7cf4e78c84: setverdict(pass): none -> pass 1196@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1196@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1196@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1196@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1196@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1195)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1196@7d7cf4e78c84: Final verdict of PTC: none TC_establish_ign_first_sabm(1195)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1187)@7d7cf4e78c84: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1186)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1188)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1186): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1187): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1188): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm(1189): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1190: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm(1191): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1192: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm(1193): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1194: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_establish_ign_first_sabm(1195): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1196: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Mon Jun 24 13:35:13 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8381119) Waiting for packet dumper to finish... 1 (prev_count=8381119, count=8381618) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Mon Jun 24 13:35:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1201@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: setverdict(pass): none -> pass 1201@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1201@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1201@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1201@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 0 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 1 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 2 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 3 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 4 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 5 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 6 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 7 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 8 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Starting iteration 9 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Completed iteration 1201@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1201@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_seq_and_ack(1200)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1203@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: setverdict(pass): none -> pass 1203@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1203@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1203@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1203@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 0 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 1 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 2 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 3 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 4 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 5 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 6 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 7 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 8 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Starting iteration 9 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Completed iteration 1203@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1203@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_seq_and_ack(1202)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1205@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: setverdict(pass): none -> pass 1205@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1205@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1205@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1205@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 0 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 1 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 2 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 3 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 4 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 5 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 6 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 7 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 8 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Starting iteration 9 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Completed iteration 1205@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1205@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_seq_and_ack(1204)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1207@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: setverdict(pass): none -> pass 1207@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1207@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1207@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1207@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 0 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 1 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 2 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 3 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 4 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 5 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 6 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 7 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 8 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Starting iteration 9 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Completed iteration 1207@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1207@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_seq_and_ack(1206)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_seq_and_ack-RSL(1198)@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1197)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1199)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1197): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1198): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1199): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack(1200): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1201: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack(1202): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1203: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack(1204): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1205: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_seq_and_ack(1206): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1207: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_iframe_seq_and_ack finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass'. Mon Jun 24 13:35:32 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14882373) Waiting for packet dumper to finish... 1 (prev_count=14882373, count=14887548) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Mon Jun 24 13:35:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1212@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1211)@7d7cf4e78c84: setverdict(pass): none -> pass 1212@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1212@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1212@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1212@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1212@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1211)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1212@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_timer_recovery(1211)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1214@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1213)@7d7cf4e78c84: setverdict(pass): none -> pass 1214@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1214@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1214@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1214@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1214@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1213)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1214@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_timer_recovery(1213)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1216@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1215)@7d7cf4e78c84: setverdict(pass): none -> pass 1216@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1216@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1216@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1216@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1216@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1215)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1216@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_timer_recovery(1215)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1218@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1217)@7d7cf4e78c84: setverdict(pass): none -> pass 1218@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1218@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1218@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1218@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1218@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1217)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1218@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_timer_recovery(1217)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_iframe_timer_recovery-RSL(1209)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1210)@7d7cf4e78c84: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1208)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1208): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery-RSL(1209): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1210): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery(1211): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1212: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery(1213): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1214: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery(1215): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1216: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_iframe_timer_recovery(1217): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1218: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_iframe_timer_recovery finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass'. Mon Jun 24 13:35:43 UTC 2024 ====== BTS_Tests_LAPDm.TC_iframe_timer_recovery pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7459736) Waiting for packet dumper to finish... 1 (prev_count=7459736, count=7460235) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Mon Jun 24 13:35:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1223@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1222)@7d7cf4e78c84: setverdict(pass): none -> pass 1223@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1223@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1223@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1223@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1222)@7d7cf4e78c84: Warning: Re-starting timer T1, which is already active (running or expired). 1223@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1222)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1223@7d7cf4e78c84: Final verdict of PTC: none TC_ns_seq_error(1222)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1222)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1225@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1224)@7d7cf4e78c84: setverdict(pass): none -> pass 1225@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1225@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1225@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1225@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1224)@7d7cf4e78c84: Warning: Re-starting timer T1, which is already active (running or expired). 1225@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1224)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1225@7d7cf4e78c84: Final verdict of PTC: none TC_ns_seq_error(1224)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1224)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1227@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1226)@7d7cf4e78c84: setverdict(pass): none -> pass 1227@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1227@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1227@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1227@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1226)@7d7cf4e78c84: Warning: Re-starting timer T1, which is already active (running or expired). 1227@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1226)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1227@7d7cf4e78c84: Final verdict of PTC: none TC_ns_seq_error(1226)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1226)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1229@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1228)@7d7cf4e78c84: setverdict(pass): none -> pass 1229@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1229@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1229@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1229@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1228)@7d7cf4e78c84: Warning: Re-starting timer T1, which is already active (running or expired). 1229@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1228)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1229@7d7cf4e78c84: Final verdict of PTC: none TC_ns_seq_error(1228)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1228)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_ns_seq_error-RSL(1220)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1221)@7d7cf4e78c84: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1219)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1219): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error-RSL(1220): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1221): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error(1222): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1223: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error(1224): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1225: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error(1226): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1227: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_ns_seq_error(1228): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1229: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_ns_seq_error finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass'. Mon Jun 24 13:36:01 UTC 2024 ====== BTS_Tests_LAPDm.TC_ns_seq_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13848818) Waiting for packet dumper to finish... 1 (prev_count=13848818, count=13849317) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Mon Jun 24 13:36:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1234@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1233)@7d7cf4e78c84: setverdict(pass): none -> pass 1234@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1234@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1234@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1234@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1234@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1233)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1234@7d7cf4e78c84: Final verdict of PTC: none TC_nr_seq_error(1233)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1233)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1236@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1235)@7d7cf4e78c84: setverdict(pass): none -> pass 1236@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1236@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1236@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1236@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1236@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1235)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1236@7d7cf4e78c84: Final verdict of PTC: none TC_nr_seq_error(1235)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1235)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1238@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1237)@7d7cf4e78c84: setverdict(pass): none -> pass 1238@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1238@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1238@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1238@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1238@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1237)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1238@7d7cf4e78c84: Final verdict of PTC: none TC_nr_seq_error(1237)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1237)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1240@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1239)@7d7cf4e78c84: setverdict(pass): none -> pass 1240@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1240@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1240@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1240@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1240@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1239)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1240@7d7cf4e78c84: Final verdict of PTC: none TC_nr_seq_error(1239)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1239)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1231)@7d7cf4e78c84: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1230)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1232)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1230): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error-RSL(1231): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1232): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error(1233): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1234: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error(1235): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1236: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error(1237): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1238: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_nr_seq_error(1239): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1240: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_nr_seq_error finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Mon Jun 24 13:36:08 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3774201) Waiting for packet dumper to finish... 1 (prev_count=3774201, count=3774700) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Mon Jun 24 13:36:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1245@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1244)@7d7cf4e78c84: setverdict(pass): none -> pass 1245@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1245@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1245@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1245@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1245@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1244)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1245@7d7cf4e78c84: Final verdict of PTC: none TC_rec_invalid_frame(1244)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1244)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1247@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1246)@7d7cf4e78c84: setverdict(pass): none -> pass 1247@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1247@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1247@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1247@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1247@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1246)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1247@7d7cf4e78c84: Final verdict of PTC: none TC_rec_invalid_frame(1246)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1246)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1249@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1248)@7d7cf4e78c84: setverdict(pass): none -> pass 1249@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1249@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1249@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1249@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1249@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1248)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1249@7d7cf4e78c84: Final verdict of PTC: none TC_rec_invalid_frame(1248)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1248)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1251@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1250)@7d7cf4e78c84: setverdict(pass): none -> pass 1251@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1251@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1251@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1251@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1251@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1250)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1251@7d7cf4e78c84: Final verdict of PTC: none TC_rec_invalid_frame(1250)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1250)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rec_invalid_frame-RSL(1242)@7d7cf4e78c84: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1241)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1243)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1241): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame-RSL(1242): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1243): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame(1244): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1245: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame(1246): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1247: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame(1248): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1249: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rec_invalid_frame(1250): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1251: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rec_invalid_frame finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass'. Mon Jun 24 13:38:32 UTC 2024 ====== BTS_Tests_LAPDm.TC_rec_invalid_frame pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=137870725) Waiting for packet dumper to finish... 1 (prev_count=137870725, count=137875900) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Mon Jun 24 13:38:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1256@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1255)@7d7cf4e78c84: setverdict(pass): none -> pass 1256@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1256@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1256@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1256@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1255)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1256@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1255)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1256@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_dcch(1255)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1258@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1257)@7d7cf4e78c84: setverdict(pass): none -> pass 1258@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1258@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1258@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1258@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1257)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1258@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1257)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1258@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_dcch(1257)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1260@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1259)@7d7cf4e78c84: setverdict(pass): none -> pass 1260@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1260@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1260@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1260@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1259)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1260@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1259)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1260@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_dcch(1259)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1262@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1261)@7d7cf4e78c84: setverdict(pass): none -> pass 1262@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1262@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1262@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1262@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1261)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1262@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1261)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1262@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_dcch(1261)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_dcch-RSL(1253)@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_dcch-RSL-IPA(1252)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1254)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1252): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch-RSL(1253): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1254): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch(1255): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1256: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch(1257): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1258: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch(1259): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1260: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_dcch(1261): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1262: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_segm_concat_dcch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass'. Mon Jun 24 13:38:53 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_dcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13615848) Waiting for packet dumper to finish... 1 (prev_count=13615848, count=13616347) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Mon Jun 24 13:38:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1267@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1266)@7d7cf4e78c84: setverdict(pass): none -> pass 1267@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1267@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1267@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1267@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1266)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1267@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1266)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1267@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_sacch(1266)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1269@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1268)@7d7cf4e78c84: setverdict(pass): none -> pass 1269@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1269@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1269@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1269@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1268)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1269@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1268)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1269@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_sacch(1268)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1271@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1270)@7d7cf4e78c84: setverdict(pass): none -> pass 1271@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1271@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1271@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1271@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1270)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1271@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1270)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1271@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_sacch(1270)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1273@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1272)@7d7cf4e78c84: setverdict(pass): none -> pass 1273@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1273@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1273@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1273@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1272)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1273@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1272)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1273@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_sacch(1272)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_segm_concat_sacch-RSL(1264)@7d7cf4e78c84: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1263)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1265)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1263): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch-RSL(1264): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1265): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch(1266): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1267: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch(1268): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1269: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch(1270): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1271: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_segm_concat_sacch(1272): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1273: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_segm_concat_sacch finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass'. Mon Jun 24 13:39:58 UTC 2024 ====== BTS_Tests_LAPDm.TC_segm_concat_sacch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=60861231) Waiting for packet dumper to finish... 1 (prev_count=60861231, count=60861730) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Mon Jun 24 13:40:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1275)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1275)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1275)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1275)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1275)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1275)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_t200_n200-RSL(1275)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL(1275)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1275)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1275)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_t200_n200-RSL(1275)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1275)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1275)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_t200_n200-RSL(1275)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1275)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1275)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1278@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1277)@7d7cf4e78c84: setverdict(pass): none -> pass 1278@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1278@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1278@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1278@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1277)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1278@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1277)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1278@7d7cf4e78c84: Final verdict of PTC: none TC_t200_n200(1277)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1280@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1279)@7d7cf4e78c84: setverdict(pass): none -> pass 1280@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1280@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1280@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1280@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1279)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1280@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1279)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1280@7d7cf4e78c84: Final verdict of PTC: none TC_t200_n200(1279)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1282@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1281)@7d7cf4e78c84: setverdict(pass): none -> pass 1282@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1282@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1282@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1282@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1281)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1282@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1281)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1282@7d7cf4e78c84: Final verdict of PTC: none TC_t200_n200(1281)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1284@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1283)@7d7cf4e78c84: setverdict(pass): none -> pass 1284@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1284@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1284@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1284@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1283)@7d7cf4e78c84: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1284@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1283)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1284@7d7cf4e78c84: Final verdict of PTC: none TC_t200_n200(1283)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_t200_n200-RSL(1275)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1276)@7d7cf4e78c84: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1274)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200-RSL-IPA(1274): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200-RSL(1275): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1276): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200(1277): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1278: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200(1279): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1280: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200(1281): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1282: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_t200_n200(1283): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1284: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_t200_n200 finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass'. Mon Jun 24 13:40:38 UTC 2024 ====== BTS_Tests_LAPDm.TC_t200_n200 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=36826090) Waiting for packet dumper to finish... 1 (prev_count=36826090, count=36826589) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Mon Jun 24 13:40:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1289@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1288)@7d7cf4e78c84: setverdict(pass): none -> pass 1289@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1289@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1289@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1289@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1288)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1289@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1288)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1289@7d7cf4e78c84: Final verdict of PTC: none TC_rr_response_frame_loss(1288)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1291@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1290)@7d7cf4e78c84: setverdict(pass): none -> pass 1291@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1291@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1291@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1291@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1290)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1291@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1290)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1291@7d7cf4e78c84: Final verdict of PTC: none TC_rr_response_frame_loss(1290)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1293@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1292)@7d7cf4e78c84: setverdict(pass): none -> pass 1293@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1293@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1293@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1293@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1292)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1293@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1292)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1293@7d7cf4e78c84: Final verdict of PTC: none TC_rr_response_frame_loss(1292)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1295@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1294)@7d7cf4e78c84: setverdict(pass): none -> pass 1295@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1295@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1295@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1295@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1294)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1295@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1294)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1295@7d7cf4e78c84: Final verdict of PTC: none TC_rr_response_frame_loss(1294)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1286)@7d7cf4e78c84: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1285)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1287)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1285): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss-RSL(1286): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1287): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss(1288): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1289: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss(1290): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1291: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss(1292): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1293: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_rr_response_frame_loss(1294): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1295: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_rr_response_frame_loss finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass'. Mon Jun 24 13:40:47 UTC 2024 ====== BTS_Tests_LAPDm.TC_rr_response_frame_loss pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4476930) Waiting for packet dumper to finish... 1 (prev_count=4476930, count=4482233) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Mon Jun 24 13:40:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1300@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1299)@7d7cf4e78c84: setverdict(pass): none -> pass 1300@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1300@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1300@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1300@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1300@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1299)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1300@7d7cf4e78c84: Final verdict of PTC: none TC_incorrect_cr(1299)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1302@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1301)@7d7cf4e78c84: setverdict(pass): none -> pass 1302@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1302@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1302@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1302@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1302@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1301)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1302@7d7cf4e78c84: Final verdict of PTC: none TC_incorrect_cr(1301)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1304@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1303)@7d7cf4e78c84: setverdict(pass): none -> pass 1304@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1304@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1304@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1304@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1304@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1303)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1304@7d7cf4e78c84: Final verdict of PTC: none TC_incorrect_cr(1303)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1306@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1305)@7d7cf4e78c84: setverdict(pass): none -> pass 1306@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1306@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1306@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1306@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1306@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1305)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1306@7d7cf4e78c84: Final verdict of PTC: none TC_incorrect_cr(1305)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1297)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1298)@7d7cf4e78c84: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1296)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1296): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr-RSL(1297): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1298): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr(1299): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1300: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr(1301): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1302: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr(1303): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1304: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_incorrect_cr(1305): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1306: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_incorrect_cr finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Mon Jun 24 13:41:06 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15783670) Waiting for packet dumper to finish... 1 (prev_count=15783670, count=15784606) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Mon Jun 24 13:41:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1311@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1310)@7d7cf4e78c84: setverdict(pass): none -> pass 1311@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1311@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1311@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1311@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1311@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1310)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1311@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_incorrect_c(1310)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1313@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1312)@7d7cf4e78c84: setverdict(pass): none -> pass 1313@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1313@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1313@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1313@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1313@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1312)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1313@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_incorrect_c(1312)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1315@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1314)@7d7cf4e78c84: setverdict(pass): none -> pass 1315@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1315@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1315@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1315@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1315@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1314)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1315@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_incorrect_c(1314)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1317@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1316)@7d7cf4e78c84: setverdict(pass): none -> pass 1317@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1317@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1317@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1317@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1317@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1316)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1317@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_incorrect_c(1316)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_incorrect_c-RSL(1308)@7d7cf4e78c84: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1307)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1309)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1307): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c-RSL(1308): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1309): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c(1310): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1311: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c(1312): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1313: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c(1314): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1315: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_sabm_incorrect_c(1316): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1317: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_sabm_incorrect_c finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass'. Mon Jun 24 13:41:15 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_incorrect_c pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5133130) Waiting for packet dumper to finish... 1 (prev_count=5133130, count=5133885) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Mon Jun 24 13:41:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1322@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1321)@7d7cf4e78c84: setverdict(pass): none -> pass 1322@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1322@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1322@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1322@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1321)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1321)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1322@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1321)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1322@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment(1321)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1324@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1323)@7d7cf4e78c84: setverdict(pass): none -> pass 1324@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1324@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1324@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1324@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1323)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1323)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1324@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1323)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1324@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment(1323)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1326@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1325)@7d7cf4e78c84: setverdict(pass): none -> pass 1326@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1326@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1326@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1326@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1325)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1325)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1326@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1325)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1326@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment(1325)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1328@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1327)@7d7cf4e78c84: setverdict(pass): none -> pass 1328@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1328@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1328@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1328@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1327)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1327)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1328@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1327)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1328@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment(1327)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment-RSL(1319)@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1318)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1320)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1318): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment-RSL(1319): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1320): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment(1321): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1322: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment(1323): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1324: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment(1325): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1326: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment(1327): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1328: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_normal_reestablishment finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass'. Mon Jun 24 13:41:42 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=22454945) Waiting for packet dumper to finish... 1 (prev_count=22454945, count=22460248) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Mon Jun 24 13:41:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1333@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1332)@7d7cf4e78c84: setverdict(pass): none -> pass 1333@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1333@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1333@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1333@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1332)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1333@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1332)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1333@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1332)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } 1335@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1334)@7d7cf4e78c84: setverdict(pass): none -> pass 1335@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1335@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1335@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1335@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1334)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1335@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1334)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1335@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1334)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1337@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1336)@7d7cf4e78c84: setverdict(pass): none -> pass 1337@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1337@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1337@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1337@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1336)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1337@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1336)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1337@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1336)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } 1339@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1338)@7d7cf4e78c84: setverdict(pass): none -> pass 1339@7d7cf4e78c84: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1339@7d7cf4e78c84: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1339@7d7cf4e78c84: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1339@7d7cf4e78c84: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1338)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1339@7d7cf4e78c84: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1338)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed 1339@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1338)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_normal_reestablishment_state_unacked-RSL(1330)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1331)@7d7cf4e78c84: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1329)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1329): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1330): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1331): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked(1332): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1333: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked(1334): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1335: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked(1336): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1337: none (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_normal_reestablishment_state_unacked(1338): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC with component reference 1339: none (pass -> pass) MTC@7d7cf4e78c84: Test case TC_normal_reestablishment_state_unacked finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass'. Mon Jun 24 13:42:01 UTC 2024 ====== BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14822867) Waiting for packet dumper to finish... 1 (prev_count=14822867, count=14823366) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass' was executed successfully (exit status: 0). MC@7d7cf4e78c84: Test execution finished. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Mon Jun 24 13:42:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1343)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1344)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1343)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1343)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1344)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1344)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1345)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1346)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1345)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1345)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1346)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1346)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1347)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1348)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1347)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1347)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1348)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1348)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1349)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1350)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1349)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1349)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vff(1350)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1350)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1341)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1340)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1342)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1340): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1341): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1342): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1343): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1344): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1345): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1346): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1347): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1348): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1349): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vff(1350): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Mon Jun 24 13:42:08 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2854535) Waiting for packet dumper to finish... 1 (prev_count=2854535, count=2855034) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Mon Jun 24 13:42:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh(1354)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1355)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1356)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1357)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1354)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1354)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1355)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1355)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1356)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1356)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1357)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1357)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1358)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1359)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1360)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1361)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1358)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1358)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1359)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1359)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1360)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1360)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1361)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1361)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1362)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1363)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1364)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1365)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1362)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1362)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1363)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1363)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1364)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1364)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1365)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1365)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1366)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1367)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1368)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1369)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1366)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1366)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1367)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1367)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1368)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1368)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1369)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1369)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1352)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1351)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1353)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1351): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1352): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1353): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1354): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1355): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1356): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1357): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1358): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1359): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1360): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1361): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1362): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1363): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1364): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1365): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1366): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1367): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1368): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_vhh(1369): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Mon Jun 24 13:42:15 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3013560) Waiting for packet dumper to finish... 1 (prev_count=3013560, count=3014059) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Mon Jun 24 13:42:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh(1373)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1374)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1375)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1373)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1373)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1374)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1374)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1375)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1375)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1376)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1377)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1378)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1376)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1376)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1377)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1377)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1378)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1378)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1379)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1380)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1381)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1379)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1379)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1380)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1380)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1381)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1381)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1382)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1383)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1384)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1382)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1382)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1383)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1384)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1383)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1384)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1371)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1370)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1372)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1370): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1371): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1372): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1373): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1374): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1375): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1376): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1377): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1378): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1379): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1380): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1381): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1382): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1383): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_hvhh(1384): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Mon Jun 24 13:42:22 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2914748) Waiting for packet dumper to finish... 1 (prev_count=2914748, count=2919923) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Mon Jun 24 13:42:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff(1388)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1389)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1388)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1388)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1389)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1389)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1390)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1391)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1390)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1390)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1391)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1391)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1392)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1393)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1392)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1392)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1393)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1393)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1394)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1395)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1394)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1394)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1395)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1395)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1386)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1387)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1385): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1386): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1387): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1388): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1389): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1390): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1391): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1392): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1393): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1394): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1395): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Mon Jun 24 13:42:29 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2837073) Waiting for packet dumper to finish... 1 (prev_count=2837073, count=2837572) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Mon Jun 24 13:42:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1399)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1400)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1399)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1399)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1400)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1400)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1401)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1402)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1401)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1401)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1402)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1402)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1403)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1404)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1403)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1403)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1404)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1404)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1405)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1406)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1405)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1405)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1406)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1406)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1397)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1398)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1396): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1397): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1398): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1399): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1400): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1401): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1402): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1403): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1404): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1405): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1406): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Mon Jun 24 13:42:35 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2835613) Waiting for packet dumper to finish... 1 (prev_count=2835613, count=2836549) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Mon Jun 24 13:42:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1410)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1413)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1410)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1410)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1411)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1411)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1412)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1412)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1413)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1413)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1414)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1414)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1415)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1415)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1416)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1416)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1417)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1417)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1418)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1418)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1419)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1419)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1420)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1420)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1421)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1421)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1422)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1422)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1423)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1423)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1424)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1424)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1425)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1425)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1409)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1407): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1408): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1409): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1410): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1411): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1412): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1413): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1414): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1415): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1416): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1417): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1418): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1419): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1420): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1421): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1422): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1423): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1424): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1425): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Mon Jun 24 13:42:42 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3010578) Waiting for packet dumper to finish... 1 (prev_count=3010578, count=3011077) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Mon Jun 24 13:42:46 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1429)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1430)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1431)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1429)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1429)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1430)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1430)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1431)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1431)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1432)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1432)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1432)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1433)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1433)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1434)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1434)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1435)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1435)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1436)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1436)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1437)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1437)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1438)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1438)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1439)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1439)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1440)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1440)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1428)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1426): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1427): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1428): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1429): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1430): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1431): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1432): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1433): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1434): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1435): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1436): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1437): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1438): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1439): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1440): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Mon Jun 24 13:42:49 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2932477) Waiting for packet dumper to finish... 1 (prev_count=2932477, count=2932976) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Mon Jun 24 13:42:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1444)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1444)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1444)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1444)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1445)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1445)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1445)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1445)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1446)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1446)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1446)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1446)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1447)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1447)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1447)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1448)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1448)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1448)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1449)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1449)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1449)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1450)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1450)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1450)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1451)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1451)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1451)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vff-RSL(1442)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1443)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1441)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1441): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1442): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1443): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1444): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1445): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1446): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1447): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1448): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1449): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1450): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1451): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Mon Jun 24 13:42:55 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2063044) Waiting for packet dumper to finish... 1 (prev_count=2063044, count=2063543) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Mon Jun 24 13:42:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh(1455)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1455)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1455)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1455)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1456)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1456)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1456)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1456)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1457)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1457)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1457)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1458)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1458)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1458)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1459)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1459)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1459)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1460)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1460)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1460)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1461)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1461)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1461)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1462)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1462)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1462)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1463)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1463)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1463)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1464)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1464)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1464)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1464)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1465)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1465)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1466)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1466)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1466)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1467)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1467)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1467)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1468)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1468)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1468)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1469)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1469)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1469)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1470)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1470)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1470)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1453)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1454)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1452): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1453): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1454): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1455): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1456): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1457): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1458): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1459): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1460): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1461): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1462): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1463): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1464): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1465): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1466): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1467): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1468): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1469): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1470): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Mon Jun 24 13:43:01 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2208913) Waiting for packet dumper to finish... 1 (prev_count=2208913, count=2209412) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Mon Jun 24 13:43:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh(1474)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1474)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1474)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1474)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1475)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1475)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1476)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1475)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1476)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1475)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1476)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1476)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1477)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1477)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1477)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1478)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1478)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1478)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1479)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1479)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1479)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1480)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1480)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1480)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1481)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1481)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1481)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1482)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1482)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1482)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1483)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1483)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1483)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1484)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1484)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1484)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1485)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1485)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1485)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1472)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1473)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1471): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1472): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1473): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1474): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1475): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1476): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1477): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1478): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1479): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1480): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1481): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1482): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1483): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1484): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1485): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Mon Jun 24 13:43:06 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2144923) Waiting for packet dumper to finish... 1 (prev_count=2144923, count=2145859) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Mon Jun 24 13:43:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff(1489)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1489)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1489)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1489)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1490)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1490)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1490)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1490)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1491)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1491)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1491)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1491)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1492)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1493)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1494)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1495)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1496)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1488)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1486): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1487): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1488): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1489): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1490): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1491): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1492): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1493): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1494): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1495): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1496): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Mon Jun 24 13:43:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2070898) Waiting for packet dumper to finish... 1 (prev_count=2070898, count=2076073) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Mon Jun 24 13:43:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1500)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1500)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1500)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1500)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1501)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1501)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1501)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1501)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1502)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1502)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1502)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1502)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1503)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1504)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1505)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1506)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1507)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1499)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1497): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1498): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1499): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1500): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1501): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1502): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1503): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1504): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1505): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1506): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1507): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Mon Jun 24 13:43:18 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2068105) Waiting for packet dumper to finish... 1 (prev_count=2068105, count=2068604) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Mon Jun 24 13:43:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1510)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1508): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1509): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1510): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1511): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1512): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1513): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1514): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1515): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1516): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1517): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1518): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1519): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1520): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1521): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1522): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1523): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1524): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1525): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1526): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Mon Jun 24 13:43:23 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2241392) Waiting for packet dumper to finish... 1 (prev_count=2241392, count=2241891) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Mon Jun 24 13:43:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1530)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1530)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1530)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1531)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1530)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1531)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1531)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1531)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1532)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1532)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1532)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1532)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540)@7d7cf4e78c84: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1529)@7d7cf4e78c84: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1527): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1528): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1529): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1530): pass (none -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1531): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1532): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1533): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1534): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1535): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1536): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1537): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1538): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1539): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1540): pass (pass -> pass) MTC@7d7cf4e78c84: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1541): pass (pass -> pass) MTC@7d7cf4e78c84: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Mon Jun 24 13:43:29 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2166540) Waiting for packet dumper to finish... 1 (prev_count=2166540, count=2167039) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@7d7cf4e78c84: Test execution finished. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Mon Jun 24 13:43:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vbs_notification-RSL(1543)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Sending RSL NOTIF_CMD (start) MTC@7d7cf4e78c84: setverdict(fail): none -> fail reason: "Timeout waiting for NCH message", new component reason: "Timeout waiting for NCH message" MTC@7d7cf4e78c84: Sending RSL NOTIF_CMD (stop) MTC@7d7cf4e78c84: Not received NOTIFICATION/NCH. (as expected) MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":127 TC_vbs_notification-RSL(1543)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1544)@7d7cf4e78c84: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1542)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: fail reason: "Timeout waiting for NCH message" MTC@7d7cf4e78c84: Local verdict of PTC TC_vbs_notification-RSL-IPA(1542): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC TC_vbs_notification-RSL(1543): none (fail -> fail) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1544): none (fail -> fail) MTC@7d7cf4e78c84: Test case TC_vbs_notification finished. Verdict: fail reason: Timeout waiting for NCH message MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail'. Mon Jun 24 13:43:40 UTC 2024 ------ BTS_Tests_ASCI.TC_vbs_notification fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6407364) Waiting for packet dumper to finish... 1 (prev_count=6407364, count=6407863) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Mon Jun 24 13:43:43 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1548)@7d7cf4e78c84: Final verdict of PTC: pass MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":190 TC_vgcs_uplink_free_and_busy-RSL(1546)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1545)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1547)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1545): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1546): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1547): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1548): pass (none -> pass) MTC@7d7cf4e78c84: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Mon Jun 24 13:43:46 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2588649) Waiting for packet dumper to finish... 1 (prev_count=2588649, count=2589585) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Mon Jun 24 13:43:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Activating VGCS channel. TC_vgcs_talker_fail(1552)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Send UPLINK FREE. TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Send UPLINK ACCESS. TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1552)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"", new component reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7353 MC@7d7cf4e78c84: Test Component 1552 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_fail-RSL(1550)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_talker_fail(1552)@7d7cf4e78c84: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" IPA-CTRL-CLI-IPA(1551)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_talker_fail-RSL-IPA(1549)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1549): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_fail-RSL(1550): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1551): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_fail(1552): fail (none -> fail) reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" MTC@7d7cf4e78c84: Test case TC_vgcs_talker_fail finished. Verdict: fail reason: "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail'. Mon Jun 24 13:43:54 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_fail fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5379788) Waiting for packet dumper to finish... 1 (prev_count=5379788, count=5380415) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Mon Jun 24 13:43:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Activating VGCS channel. TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Send UPLINK FREE. TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Received UPLINK FREE. TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"", new component reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests.ttcn":7353 MC@7d7cf4e78c84: Test Component 1556 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_est_rel-RSL(1554)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_talker_est_rel(1556)@7d7cf4e78c84: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" IPA-CTRL-CLI-IPA(1555)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1553)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1553): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1554): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1555): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_talker_est_rel(1556): fail (none -> fail) reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" MTC@7d7cf4e78c84: Test case TC_vgcs_talker_est_rel finished. Verdict: fail reason: "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail'. Mon Jun 24 13:44:03 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5317996) Waiting for packet dumper to finish... 1 (prev_count=5317996, count=5318495) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Mon Jun 24 13:44:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@7d7cf4e78c84: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Mapped TRX#0 to TCP/IP conn_id=2 MTC@7d7cf4e78c84: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Mapped TRX#1 to TCP/IP conn_id=3 MTC@7d7cf4e78c84: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@7d7cf4e78c84: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Mapped TRX#2 to TCP/IP conn_id=4 MTC@7d7cf4e78c84: 3/4 transceiver(s) connected MTC@7d7cf4e78c84: 3/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Mapped TRX#3 to TCP/IP conn_id=5 MTC@7d7cf4e78c84: 4/4 transceiver(s) connected MTC@7d7cf4e78c84: 4/4 RF Resource Indication(s) received MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@7d7cf4e78c84: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@7d7cf4e78c84: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1560)@7d7cf4e78c84: Activating VGCS channel. TC_vgcs_listener_det(1560)@7d7cf4e78c84: setverdict(pass): none -> pass TC_vgcs_listener_det(1560)@7d7cf4e78c84: Send UPLINK ACCESS. TC_vgcs_listener_det(1560)@7d7cf4e78c84: setverdict(fail): pass -> fail reason: "Timeout waiting for RSL Listener Detect.", new component reason: "Timeout waiting for RSL Listener Detect." TC_vgcs_listener_det(1560)@7d7cf4e78c84: Send second UPLINK ACCESS. TC_vgcs_listener_det(1560)@7d7cf4e78c84: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1560)@7d7cf4e78c84: Final verdict of PTC: fail reason: "Timeout waiting for RSL Listener Detect." MTC@7d7cf4e78c84: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":411 TC_vgcs_listener_det-RSL(1558)@7d7cf4e78c84: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1557)@7d7cf4e78c84: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1559)@7d7cf4e78c84: Final verdict of PTC: none MTC@7d7cf4e78c84: Setting final verdict of the test case. MTC@7d7cf4e78c84: Local verdict of MTC: none MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1557): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_listener_det-RSL(1558): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC IPA-CTRL-CLI-IPA(1559): none (none -> none) MTC@7d7cf4e78c84: Local verdict of PTC TC_vgcs_listener_det(1560): fail (none -> fail) reason: "Timeout waiting for RSL Listener Detect." MTC@7d7cf4e78c84: Test case TC_vgcs_listener_det finished. Verdict: fail reason: Timeout waiting for RSL Listener Detect. MTC@7d7cf4e78c84: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail'. Mon Jun 24 13:44:13 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_listener_det fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6434950) Waiting for packet dumper to finish... 1 (prev_count=6434950, count=6435449) MTC@7d7cf4e78c84: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail' was executed successfully (exit status: 0). MC@7d7cf4e78c84: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@7d7cf4e78c84: Terminating MTC. MC@7d7cf4e78c84: MTC terminated. MC2> exit MC@7d7cf4e78c84: Shutting down session. MC@7d7cf4e78c84: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass->FAIL BTS_Tests.TC_meas_res_speech_tchf pass->FAIL BTS_Tests.TC_meas_res_speech_tchf_facch pass BTS_Tests.TC_meas_res_speech_tchh pass BTS_Tests.TC_meas_res_speech_tchh_facch pass BTS_Tests.TC_meas_res_speech_tchh_toa256 pass BTS_Tests.TC_meas_res_sign_tchf pass BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass BTS_Tests.TC_meas_res_sign_sdcch8 pass BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass->FAIL BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass->FAIL BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass->FAIL BTS_Tests.TC_pcu_time_ind pass BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass BTS_Tests.TC_rll_rel_ind_ACCH_0 pass BTS_Tests.TC_rll_rel_ind_ACCH_3 pass BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass BTS_Tests.TC_acch_overpower_rxqual_thresh pass BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass BTS_Tests.TC_acch_overpower_always_on_facch pass BTS_Tests.TC_acch_overpower_always_on_sacch pass BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass BTS_Tests_LAPDm.TC_iframe_timer_recovery pass BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass BTS_Tests_LAPDm.TC_rec_invalid_frame pass BTS_Tests_LAPDm.TC_segm_concat_dcch pass BTS_Tests_LAPDm.TC_segm_concat_sacch pass BTS_Tests_LAPDm.TC_t200_n200 pass BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass BTS_Tests_LAPDm.TC_sabm_incorrect_c pass BTS_Tests_LAPDm.TC_normal_reestablishment pass BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass->FAIL BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_fail pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass->FAIL BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 11 xfail: 5 pass: 226 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-trxcon + docker kill jenkins-ttcn3-bts-test-asan-15-trxcon jenkins-ttcn3-bts-test-asan-15-trxcon + docker wait jenkins-ttcn3-bts-test-asan-15-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-15-fake_trx jenkins-ttcn3-bts-test-asan-15-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-15-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-bts + docker kill jenkins-ttcn3-bts-test-asan-15-bts jenkins-ttcn3-bts-test-asan-15-bts + docker wait jenkins-ttcn3-bts-test-asan-15-bts 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-bsc + docker kill jenkins-ttcn3-bts-test-asan-15-bsc jenkins-ttcn3-bts-test-asan-15-bsc + docker wait jenkins-ttcn3-bts-test-asan-15-bsc 137 + start_config_virtphy + test_config_enabled virtphy + local config=virtphy + local i + local valid=0 + [ virtphy = generic ] + [ virtphy = virtphy ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ virtphy = generic ] + [ virtphy = oml ] + [ virtphy = hopping ] + return 1 + return + start_config_oml + test_config_enabled oml + local config=oml + local i + local valid=0 + [ oml = generic ] + [ oml = virtphy ] + [ oml = oml ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ oml = generic ] + [ oml = oml ] + return 0 + cp oml/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 108 20 + NET=108 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-15-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 16a4d3b7f43ceb3a19617535496377303d5fdf54177059858c8dd5725814df40 + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 108 21 + NET=108 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-15-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.108.20 -r 172.18.108.22 --trx TRX1@172.18.108.20:5700/1 --trx TRX2@172.18.108.20:5700/2 --trx TRX3@172.18.108.20:5700/3 >>/data/fake_trx.out 2>&1 cd164b675478dd5fd662d738f44358a32c8deef114e8a9cb2ee8d96f286ab7cd + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 108 22 + NET=108 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.108.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 bcde53ae2490f0ca5f5402c1d424597488d72b0c91846ed0afcf7f054759cbfc + start_testsuite oml + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=oml + docker_network_params 108 10 + NET=108 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.108.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@cdd3ab744442: Unix server socket created successfully. MC@cdd3ab744442: Listening on TCP port 35153. cdd3ab744442 is the default MC2> spawn /osmo-ttcn3-hacks/bts/BTS_Tests cdd3ab744442 35153 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@cdd3ab744442: New HC connected from 172.18.108.10 [172.18.108.10]. cdd3ab744442: Linux 6.1.0-13-amd64 on x86_64. cmtc MC@cdd3ab744442: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@cdd3ab744442: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cdd3ab744442: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cdd3ab744442: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cdd3ab744442: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@cdd3ab744442: Configuration file was processed on all HCs. MC@cdd3ab744442: Creating MTC on host 172.18.108.10. MC@cdd3ab744442: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc'. ------ BTS_Tests_OML.TC_wrong_mdisc ------ Mon Jun 24 13:44:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_mdisc.pcap" >/data/BTS_Tests_OML.TC_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_mdisc' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_mdisc started. TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_mdisc-OML-IPA(3)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_mdisc-OML-IPA(3): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_mdisc finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass'. Mon Jun 24 13:44:37 UTC 2024 ====== BTS_Tests_OML.TC_wrong_mdisc pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=214440) Waiting for packet dumper to finish... 1 (prev_count=214440, count=215031) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype'. ------ BTS_Tests_OML.TC_wrong_msgtype ------ Mon Jun 24 13:44:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_msgtype.pcap" >/data/BTS_Tests_OML.TC_wrong_msgtype.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_msgtype' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_msgtype started. TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_msgtype-OML-IPA(4)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_msgtype-OML-IPA(4): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_msgtype finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass'. Mon Jun 24 13:44:42 UTC 2024 ====== BTS_Tests_OML.TC_wrong_msgtype pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_msgtype.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326124) Waiting for packet dumper to finish... 1 (prev_count=326124, count=326623) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_msgtype pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length'. ------ BTS_Tests_OML.TC_short_length ------ Mon Jun 24 13:44:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_short_length.pcap" >/data/BTS_Tests_OML.TC_short_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_short_length' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_short_length started. TC_short_length-OML-IPA(5)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_short_length-OML-IPA(5)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_short_length-OML-IPA(5)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_short_length-OML-IPA(5)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_short_length-OML-IPA(5)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_short_length-OML-IPA(5)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_short_length-OML-IPA(5)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_short_length-OML-IPA(5): none (pass -> pass) MTC@cdd3ab744442: Test case TC_short_length finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass'. Mon Jun 24 13:44:47 UTC 2024 ====== BTS_Tests_OML.TC_short_length pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_short_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326192) Waiting for packet dumper to finish... 1 (prev_count=326192, count=326691) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_short_length pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length'. ------ BTS_Tests_OML.TC_long_length ------ Mon Jun 24 13:44:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_long_length.pcap" >/data/BTS_Tests_OML.TC_long_length.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_long_length' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_long_length started. TC_long_length-OML-IPA(6)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_long_length-OML-IPA(6)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_long_length-OML-IPA(6)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_long_length-OML-IPA(6)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_long_length-OML-IPA(6)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_long_length-OML-IPA(6)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_long_length-OML-IPA(6)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_long_length-OML-IPA(6): none (pass -> pass) MTC@cdd3ab744442: Test case TC_long_length finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass'. Mon Jun 24 13:44:52 UTC 2024 ====== BTS_Tests_OML.TC_long_length pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_long_length.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327699) Waiting for packet dumper to finish... 1 (prev_count=327699, count=328198) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_long_length pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement'. ------ BTS_Tests_OML.TC_wrong_placement ------ Mon Jun 24 13:44:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_placement.pcap" >/data/BTS_Tests_OML.TC_wrong_placement.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_placement' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_placement started. TC_wrong_placement-OML-IPA(7)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_placement-OML-IPA(7)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_placement-OML-IPA(7)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_placement-OML-IPA(7)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_placement-OML-IPA(7)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_placement-OML-IPA(7)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_placement-OML-IPA(7)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_placement-OML-IPA(7): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_placement finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass'. Mon Jun 24 13:44:57 UTC 2024 ====== BTS_Tests_OML.TC_wrong_placement pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_placement.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=331277) Waiting for packet dumper to finish... 1 (prev_count=331277, count=331960) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_placement pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq'. ------ BTS_Tests_OML.TC_wrong_seq ------ Mon Jun 24 13:45:00 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_seq.pcap" >/data/BTS_Tests_OML.TC_wrong_seq.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_seq' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_seq started. TC_wrong_seq-OML-IPA(8)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_seq-OML-IPA(8)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_seq-OML-IPA(8)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_seq-OML-IPA(8)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_seq-OML-IPA(8)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_seq-OML-IPA(8)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_seq-OML-IPA(8)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_seq-OML-IPA(8): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_seq finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass'. Mon Jun 24 13:45:02 UTC 2024 ====== BTS_Tests_OML.TC_wrong_seq pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_seq.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327293) Waiting for packet dumper to finish... 1 (prev_count=327293, count=327792) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_seq pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class'. ------ BTS_Tests_OML.TC_wrong_obj_class ------ Mon Jun 24 13:45:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_obj_class.pcap" >/data/BTS_Tests_OML.TC_wrong_obj_class.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_obj_class' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_obj_class started. TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_obj_class-OML-IPA(9)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_obj_class-OML-IPA(9): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_obj_class finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass'. Mon Jun 24 13:45:07 UTC 2024 ====== BTS_Tests_OML.TC_wrong_obj_class pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_obj_class.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326816) Waiting for packet dumper to finish... 1 (prev_count=326816, count=327315) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_obj_class pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr'. ------ BTS_Tests_OML.TC_wrong_bts_nr ------ Mon Jun 24 13:45:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_bts_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_bts_nr' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_bts_nr started. TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_bts_nr-OML-IPA(10)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_bts_nr-OML-IPA(10): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_bts_nr finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass'. Mon Jun 24 13:45:12 UTC 2024 ====== BTS_Tests_OML.TC_wrong_bts_nr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_bts_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=328200) Waiting for packet dumper to finish... 1 (prev_count=328200, count=328699) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_bts_nr pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr'. ------ BTS_Tests_OML.TC_wrong_trx_nr ------ Mon Jun 24 13:45:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap" >/data/BTS_Tests_OML.TC_wrong_trx_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_wrong_trx_nr' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_wrong_trx_nr started. TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_wrong_trx_nr-OML-IPA(11)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_wrong_trx_nr-OML-IPA(11): none (pass -> pass) MTC@cdd3ab744442: Test case TC_wrong_trx_nr finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass'. Mon Jun 24 13:45:17 UTC 2024 ====== BTS_Tests_OML.TC_wrong_trx_nr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_wrong_trx_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326020) Waiting for packet dumper to finish... 1 (prev_count=326020, count=326519) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_wrong_trx_nr pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr'. ------ BTS_Tests_OML.TC_radio_carrier_opstart_noattr ------ Mon Jun 24 13:45:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_radio_carrier_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_radio_carrier_opstart_noattr started. TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_radio_carrier_opstart_noattr-OML-IPA(12)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_radio_carrier_opstart_noattr-OML-IPA(12): none (pass -> pass) MTC@cdd3ab744442: Test case TC_radio_carrier_opstart_noattr finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass'. Mon Jun 24 13:45:22 UTC 2024 ====== BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_radio_carrier_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=325722) Waiting for packet dumper to finish... 1 (prev_count=325722, count=326221) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_radio_carrier_opstart_noattr pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart'. ------ BTS_Tests_OML.TC_bts_opstart ------ Mon Jun 24 13:45:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart.pcap" >/data/BTS_Tests_OML.TC_bts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_bts_opstart started. TC_bts_opstart-OML-IPA(13)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_bts_opstart-OML-IPA(13)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart-OML-IPA(13)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart-OML-IPA(13)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart-OML-IPA(13)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart-OML-IPA(13)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart-OML-IPA(13)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_bts_opstart-OML-IPA(13): none (pass -> pass) MTC@cdd3ab744442: Test case TC_bts_opstart finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass'. Mon Jun 24 13:45:27 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_bts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=354839) Waiting for packet dumper to finish... 1 (prev_count=354839, count=355338) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr'. ------ BTS_Tests_OML.TC_bts_opstart_noattr ------ Mon Jun 24 13:45:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_bts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_bts_opstart_noattr' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_bts_opstart_noattr started. TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_bts_opstart_noattr-OML-IPA(14)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_bts_opstart_noattr-OML-IPA(14): none (pass -> pass) MTC@cdd3ab744442: Test case TC_bts_opstart_noattr finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass'. Mon Jun 24 13:45:32 UTC 2024 ====== BTS_Tests_OML.TC_bts_opstart_noattr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_bts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327082) Waiting for packet dumper to finish... 1 (prev_count=327082, count=327581) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_bts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart'. ------ BTS_Tests_OML.TC_ts_opstart ------ Mon Jun 24 13:45:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart.pcap" >/data/BTS_Tests_OML.TC_ts_opstart.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_ts_opstart started. TC_ts_opstart-OML-IPA(15)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_ts_opstart-OML-IPA(15)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart-OML-IPA(15)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart-OML-IPA(15)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart-OML-IPA(15)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart-OML-IPA(15)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart-OML-IPA(15)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_ts_opstart-OML-IPA(15): none (pass -> pass) MTC@cdd3ab744442: Test case TC_ts_opstart finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass'. Mon Jun 24 13:45:37 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_ts_opstart.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=335195) Waiting for packet dumper to finish... 1 (prev_count=335195, count=335694) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr'. ------ BTS_Tests_OML.TC_ts_opstart_noattr ------ Mon Jun 24 13:45:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap" >/data/BTS_Tests_OML.TC_ts_opstart_noattr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ts_opstart_noattr' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_ts_opstart_noattr started. TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ts_opstart_noattr-OML-IPA(16)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_ts_opstart_noattr-OML-IPA(16): none (pass -> pass) MTC@cdd3ab744442: Test case TC_ts_opstart_noattr finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass'. Mon Jun 24 13:45:42 UTC 2024 ====== BTS_Tests_OML.TC_ts_opstart_noattr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_ts_opstart_noattr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327384) Waiting for packet dumper to finish... 1 (prev_count=327384, count=327883) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ts_opstart_noattr pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports'. ------ BTS_Tests_OML.TC_initial_state_reports ------ Mon Jun 24 13:45:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_initial_state_reports.pcap" >/data/BTS_Tests_OML.TC_initial_state_reports.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_initial_state_reports' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_initial_state_reports started. TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } MTC@cdd3ab744442: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", new component reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_initial_state_reports-OML-IPA(17)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass reason: ""BTS_Tests_OML.ttcn:158 : "" MTC@cdd3ab744442: Local verdict of PTC TC_initial_state_reports-OML-IPA(17): none (pass -> pass) MTC@cdd3ab744442: Test case TC_initial_state_reports finished. Verdict: pass reason: "BTS_Tests_OML.ttcn:158 : " MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass'. Mon Jun 24 13:45:47 UTC 2024 ====== BTS_Tests_OML.TC_initial_state_reports pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_initial_state_reports.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=326475) Waiting for packet dumper to finish... 1 (prev_count=326475, count=326974) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_initial_state_reports pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd'. ------ BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd ------ Mon Jun 24 13:45:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap" >/data/BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_ipa_osmo_pcu_anr_fwd started. TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: Ignoring { ev_type := ASP_IPA_EVENT_ID_RESP (2), conn_id := 2, id_resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: Ignoring { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: BTS Features:'01101110111111111111111111100000'B MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass, component reason not changed MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_ipa_osmo_pcu_anr_fwd-OML-IPA(18): none (pass -> pass) MTC@cdd3ab744442: Test case TC_ipa_osmo_pcu_anr_fwd finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass'. Mon Jun 24 13:45:52 UTC 2024 ====== BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=334305) Waiting for packet dumper to finish... 1 (prev_count=334305, count=334804) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd pass' was executed successfully (exit status: 0). MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack'. ------ BTS_Tests_OML.TC_ipa_rsl_connect_nack ------ Mon Jun 24 13:45:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap" >/data/BTS_Tests_OML.TC_ipa_rsl_connect_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cdd3ab744442: External command `../ttcn3-tcpdump-start.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack' was executed successfully (exit status: 0). MTC@cdd3ab744442: Test case TC_ipa_rsl_connect_nack started. TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: Established a new IPA connection (conn_id=2) TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cdd3ab744442: setverdict(pass): none -> pass MTC@cdd3ab744442: setverdict(pass): pass -> pass reason: ""BTS_Tests_OML.ttcn:158 : "", component reason not changed MTC@cdd3ab744442: Stopping testcase execution from "BTS_Tests_OML.ttcn":158 TC_ipa_rsl_connect_nack-OML-IPA(19)@cdd3ab744442: Final verdict of PTC: none MTC@cdd3ab744442: Setting final verdict of the test case. MTC@cdd3ab744442: Local verdict of MTC: pass MTC@cdd3ab744442: Local verdict of PTC TC_ipa_rsl_connect_nack-OML-IPA(19): none (pass -> pass) MTC@cdd3ab744442: Test case TC_ipa_rsl_connect_nack finished. Verdict: pass MTC@cdd3ab744442: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass'. Mon Jun 24 13:45:57 UTC 2024 ====== BTS_Tests_OML.TC_ipa_rsl_connect_nack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_OML.TC_ipa_rsl_connect_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=327217) Waiting for packet dumper to finish... 1 (prev_count=327217, count=327716) MTC@cdd3ab744442: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_OML.TC_ipa_rsl_connect_nack pass' was executed successfully (exit status: 0). MC@cdd3ab744442: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@cdd3ab744442: Terminating MTC. MC@cdd3ab744442: MTC terminated. MC2> exit MC@cdd3ab744442: Shutting down session. MC@cdd3ab744442: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-oml-21.log' -------------------- NEW: PASS BTS_Tests_OML.TC_wrong_mdisc NEW: PASS BTS_Tests_OML.TC_wrong_msgtype NEW: PASS BTS_Tests_OML.TC_short_length NEW: PASS BTS_Tests_OML.TC_long_length NEW: PASS BTS_Tests_OML.TC_wrong_placement NEW: PASS BTS_Tests_OML.TC_wrong_seq NEW: PASS BTS_Tests_OML.TC_wrong_obj_class NEW: PASS BTS_Tests_OML.TC_wrong_bts_nr NEW: PASS BTS_Tests_OML.TC_wrong_trx_nr NEW: PASS BTS_Tests_OML.TC_radio_carrier_opstart_noattr NEW: PASS BTS_Tests_OML.TC_bts_opstart NEW: PASS BTS_Tests_OML.TC_bts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_ts_opstart NEW: PASS BTS_Tests_OML.TC_ts_opstart_noattr NEW: PASS BTS_Tests_OML.TC_initial_state_reports NEW: PASS BTS_Tests_OML.TC_ipa_osmo_pcu_anr_fwd NEW: PASS BTS_Tests_OML.TC_ipa_rsl_connect_nack Summary: NEW: PASS: 17 skip: 242 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_bts_opstart.merged Generated BTS_Tests.TC_bts_opstart_noattr.merged Generated BTS_Tests.TC_initial_state_reports.merged Generated BTS_Tests.TC_ipa_osmo_pcu_anr_fwd.merged Generated BTS_Tests.TC_ipa_rsl_connect_nack.merged Generated BTS_Tests.TC_long_length.merged Generated BTS_Tests.TC_radio_carrier_opstart_noattr.merged Generated BTS_Tests.TC_short_length.merged Generated BTS_Tests.TC_ts_opstart.merged Generated BTS_Tests.TC_ts_opstart_noattr.merged Generated BTS_Tests.TC_wrong_bts_nr.merged Generated BTS_Tests.TC_wrong_mdisc.merged Generated BTS_Tests.TC_wrong_msgtype.merged Generated BTS_Tests.TC_wrong_obj_class.merged Generated BTS_Tests.TC_wrong_placement.merged Generated BTS_Tests.TC_wrong_seq.merged Generated BTS_Tests.TC_wrong_trx_nr.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-trxcon + docker kill jenkins-ttcn3-bts-test-asan-15-trxcon jenkins-ttcn3-bts-test-asan-15-trxcon + docker wait jenkins-ttcn3-bts-test-asan-15-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-15-fake_trx jenkins-ttcn3-bts-test-asan-15-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-15-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-bts + docker kill jenkins-ttcn3-bts-test-asan-15-bts jenkins-ttcn3-bts-test-asan-15-bts + docker wait jenkins-ttcn3-bts-test-asan-15-bts 137 + start_config_hopping + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + cp fh/osmo-bsc.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/ + cp generic/osmo-bts.gen.cfg /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/ + network_replace_subnet_in_configs + set +x Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-virtphy/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts/osmo-bts.gen.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg.inc Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/BTS_Tests.cfg Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/Makefile Applying SUBNET=108 to: /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc/osmo-bsc.gen.cfg + start_bsc + echo Starting container with BSC Starting container with BSC + docker_network_params 108 11 + NET=108 + ADDR_SUFIX=11 + echo --network ttcn3-bts-test-108 --ip 172.18.108.11 --ip6 fd02:db8:108::11 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.11 --ip6 fd02:db8:108::11 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bsc:/data --name jenkins-ttcn3-bts-test-asan-15-bsc -d osmocom-build/osmo-bsc-asan /bin/sh -c osmo-bsc -c /data/osmo-bsc.gen.cfg >>/data/osmo-bsc.log 2>&1 dd9751ed39f280107da45f97858a44e4039fd9931a3e4bd0b717621e470ec829 + start_bts trx 1 + local variant + variant=trx + sleep_time_respawn=1 + echo Starting container with BTS Starting container with BTS + [ -z trx ] + docker_network_params 108 20 + NET=108 + ADDR_SUFIX=20 + echo --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.20 --ip6 fd02:db8:108::20 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix -e SLEEP_BEFORE_RESPAWN=1 --name jenkins-ttcn3-bts-test-asan-15-bts -d osmocom-build/osmo-bts-asan /bin/sh -c /usr/local/bin/respawn.sh osmo-bts-trx -c /data/osmo-bts.gen.cfg >>/data/osmo-bts.log 2>&1 1fc1a9baba1ce8938a6ca9cf93093db3c8c3722795d9a077949953070790a0cf + start_fake_trx + echo Starting container with fake_trx Starting container with fake_trx + docker_network_params 108 21 + NET=108 + ADDR_SUFIX=21 + echo --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.21 --ip6 fd02:db8:108::21 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/fake_trx:/data --name jenkins-ttcn3-bts-test-asan-15-fake_trx -d osmocom-build/osmocom-bb-host-master /bin/sh -c /tmp/osmocom-bb/src/target/trx_toolkit/fake_trx.py --log-file-name /data/fake_trx.log --log-file-level DEBUG --log-file-time --log-level INFO -R 172.18.108.20 -r 172.18.108.22 --trx TRX1@172.18.108.20:5700/1 --trx TRX2@172.18.108.20:5700/2 --trx TRX3@172.18.108.20:5700/3 >>/data/fake_trx.out 2>&1 6ca40fce1f1aeaf3702521e0083b962298025b0cbeeba633b67e2d13e4c140a8 + start_trxcon + echo Starting container with trxcon Starting container with trxcon + docker_network_params 108 22 + NET=108 + ADDR_SUFIX=22 + echo --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.22 --ip6 fd02:db8:108::22 --ulimit core=-1 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/trxcon:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-trxcon -d osmocom-build/osmocom-bb-host-master /bin/sh -c trxcon -i 172.18.108.21 -s /data/unix/osmocom_l2 >>/data/trxcon.log 2>&1 765bbab78fffd01889c8ce4f39a6d4551b36fda578ec936f1cdb270777851a8e + start_testsuite hopping + echo Starting container with BTS testsuite Starting container with BTS testsuite + variant=hopping + docker_network_params 108 10 + NET=108 + ADDR_SUFIX=10 + echo --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 + docker run --rm --network ttcn3-bts-test-108 --ip 172.18.108.10 --ip6 fd02:db8:108::10 --ulimit core=-1 -e TTCN3_PCAP_PATH=/data -e OSMO_SUT_HOST=172.18.108.20 -e OSMO_SUT_PORT=4241 -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix:/data/unix --name jenkins-ttcn3-bts-test-asan-15-ttcn3-bts-test osmocom-build/ttcn3-bts-test + SUBDIR=bts + SUITE=BTS_Tests + '[' -n '' ']' + cd /data + EXTRA_ARGS= + '[' -n '' ']' + /osmo-ttcn3-hacks/start-testsuite.sh /osmo-ttcn3-hacks/bts/BTS_Tests BTS_Tests.cfg ttcn3_start: Starting the test suite ttcn3_start: warning: TTCN3_DIR environment variable is not set spawn mctr_cli BTS_Tests.cfg ************************************************************************* * TTCN-3 Test Executor - Main Controller 2 * * Version: 9.0.0 * * Copyright (c) 2000-2023 Ericsson Telecom AB * * All rights reserved. This program and the accompanying materials * * are made available under the terms of the Eclipse Public License v2.0 * * which accompanies this distribution, and is available at * * https://www.eclipse.org/org/documents/epl-2.0/EPL-2.0.html * ************************************************************************* Using configuration file: BTS_Tests.cfg MC@cf52eee7cfd6: Unix server socket created successfully. MC@cf52eee7cfd6: Listening on TCP port 34981. MC2> cf52eee7cfd6 is the default spawn /osmo-ttcn3-hacks/bts/BTS_Tests cf52eee7cfd6 34981 TTCN-3 Host Controller (parallel mode), version 9.0.0 MC@cf52eee7cfd6: New HC connected from 172.18.108.10 [172.18.108.10]. cf52eee7cfd6: Linux 6.1.0-13-amd64 on x86_64. cmtc MC@cf52eee7cfd6: Downloading configuration file to all HCs. construct junitlogger Initializing `JUnitLogger' (v2.0): JUnitLogger writes JUnit-compatible XML HC@cf52eee7cfd6: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cf52eee7cfd6: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cf52eee7cfd6: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. HC@cf52eee7cfd6: Warning: Option `FileMask' was given more than once in section [LOGGING] of the configuration file. MC@cf52eee7cfd6: Configuration file was processed on all HCs. MC@cf52eee7cfd6: Creating MTC on host 172.18.108.10. MC@cf52eee7cfd6: MTC is created. MC2> smtc Executing all items of [EXECUTE] section. MC2> MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan'. ------ BTS_Tests.TC_est_dchan ------ Mon Jun 24 13:46:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_est_dchan.pcap" >/data/BTS_Tests.TC_est_dchan.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_est_dchan' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_est_dchan started. TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL(4)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_est_dchan-RSL(4)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_est_dchan-RSL(4)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_est_dchan-RSL(4)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_est_dchan-RSL(4)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_est_dchan-RSL(4)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan-RSL(4)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_est_dchan(6)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(6)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 32, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(6)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(6)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(6)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(7)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(7)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 4, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(7)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(7)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(7)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(8)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(8)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 14, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(8)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(8)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(8)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(9)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(9)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 4, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(9)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(9)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(9)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(10)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(10)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 14, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(10)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(10)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(10)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_est_dchan(11)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(11)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 2 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 32, t2 := 16 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11000000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(11)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(11)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(11)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(12)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(12)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 3, hsn := 3 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 45, t2 := 25 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(12)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(12)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(12)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(13)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 5, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := PAGING_REQUEST_TYPE_1 (33) }, payload := { pag_req_1 := { chan_needed := { second := CHAN_NEED_ANY (0), first := CHAN_NEED_ANY (0) }, page_mode := PAGE_MODE_NORMAL (0), mi1 := { lengthIndicator := 1, mobileIdentityV := { typeOfIdentity := '000'B, oddEvenInd_identity := { no_identity := { oddevenIndicator := '0'B, fillerDigits := 'F'H } } } }, mi2 := omit, rest_octets := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } } TC_est_dchan(13)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 0, t3 := 14, t2 := 17 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(13)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(13)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(13)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(14)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 22, t2 := 21 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(14)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(14)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(14)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(15)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 45, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(15)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(15)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(15)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(16)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(16)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 32, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(16)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(16)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(16)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(17)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(17)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 0, hsn := 5 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 45, t2 := 6 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '11110000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(17)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(17)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(17)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(18)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(18)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(18)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 4, t2 := 14 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(18)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(18)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(18)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(19)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(19)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 45, t2 := 3 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(19)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(19)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(19)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(20)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(20)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(20)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 4, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(20)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(20)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(20)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(21)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(21)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(21)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 4, t2 := 9 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(21)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(21)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(21)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(22)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(22)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(22)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 4, t2 := 7 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(22)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(22)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(22)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(23)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(23)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 1, t3 := 45, t2 := 22 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(23)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(23)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(23)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(24)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(24)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(24)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 2, t3 := 4, t2 := 4 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(24)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(24)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(24)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_est_dchan(25)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_est_dchan(25)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '11'B }, skip_indicator := 0, rr_protocol_discriminator := 3, message_type := SYSTEM_INFORMATION_TYPE_14 (1) }, payload := { other := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_est_dchan(25)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 }, tsc := 7, h := true, arfcn := omit, maio_hsn := { maio := 1, hsn := 6 } }, pkt_chan_desc := omit, req_ref := { ra := '11111110'B, t1p := 2, t3 := 4, t2 := 2 }, timing_advance := 0, mobile_allocation := { len := 1, ma := '10010000'B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_est_dchan(25)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_est_dchan(25)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_est_dchan(25)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8950 TC_est_dchan-RSL(4)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(5)@cf52eee7cfd6: Final verdict of PTC: none TC_est_dchan-RSL-IPA(3)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan-RSL-IPA(3): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan-RSL(4): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(5): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(6): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(7): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(8): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(9): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(10): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(11): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(12): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(13): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(14): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(15): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(16): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(17): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(18): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(19): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(20): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(21): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(22): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(23): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(24): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_est_dchan(25): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Test case TC_est_dchan finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass'. Mon Jun 24 13:46:21 UTC 2024 ====== BTS_Tests.TC_est_dchan pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_est_dchan.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13555526) Waiting for packet dumper to finish... 1 (prev_count=13555526, count=13561138) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_est_dchan pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress'. ------ BTS_Tests.TC_chan_act_stress ------ Mon Jun 24 13:46:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_stress.pcap" >/data/BTS_Tests.TC_chan_act_stress.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_stress' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_stress started. TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_stress-RSL(27)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_stress(29)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1031 TC_chan_act_stress-RSL(27)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(28)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_stress-RSL-IPA(26)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_stress-RSL-IPA(26): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_stress-RSL(27): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(28): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_stress(29): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_stress finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass'. Mon Jun 24 13:46:29 UTC 2024 ====== BTS_Tests.TC_chan_act_stress pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_stress.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9705002) Waiting for packet dumper to finish... 1 (prev_count=9705002, count=9705593) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_stress pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react'. ------ BTS_Tests.TC_chan_act_react ------ Mon Jun 24 13:46:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_react.pcap" >/data/BTS_Tests.TC_chan_act_react.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_react' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_react started. TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_react-RSL(31)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_react-RSL(31)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_react-RSL(31)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_react-RSL(31)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_react-RSL(31)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_react-RSL(31)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react-RSL(31)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_react(33)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_react(33)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_react(33)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1055 TC_chan_act_react-RSL(31)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(32)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_react-RSL-IPA(30)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_react-RSL-IPA(30): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_react-RSL(31): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(32): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_react(33): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_react finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass'. Mon Jun 24 13:46:35 UTC 2024 ====== BTS_Tests.TC_chan_act_react pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_react.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948231) Waiting for packet dumper to finish... 1 (prev_count=1948231, count=1948822) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_react pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active'. ------ BTS_Tests.TC_chan_deact_not_active ------ Mon Jun 24 13:46:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_deact_not_active.pcap" >/data/BTS_Tests.TC_chan_deact_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_deact_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_deact_not_active started. TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_deact_not_active(37)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_deact_not_active(37)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1077 TC_chan_deact_not_active-RSL(35)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(36)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_deact_not_active-RSL-IPA(34)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_deact_not_active-RSL-IPA(34): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_deact_not_active-RSL(35): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(36): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_deact_not_active(37): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_chan_deact_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass'. Mon Jun 24 13:46:40 UTC 2024 ====== BTS_Tests.TC_chan_deact_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_deact_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1916546) Waiting for packet dumper to finish... 1 (prev_count=1916546, count=1922158) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_deact_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr'. ------ BTS_Tests.TC_chan_act_wrong_nr ------ Mon Jun 24 13:46:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_wrong_nr.pcap" >/data/BTS_Tests.TC_chan_act_wrong_nr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_wrong_nr' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_wrong_nr started. TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_wrong_nr(41)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(41)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(42)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(42)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(43)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(43)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(44)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(44)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(45)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(45)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(46)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(46)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(47)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(47)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(48)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(48)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(49)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(49)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(50)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(50)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(51)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(51)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } TC_chan_act_wrong_nr(52)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(52)@cf52eee7cfd6: Final verdict of PTC: pass TC_chan_act_wrong_nr(53)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_wrong_nr(53)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1129 TC_chan_act_wrong_nr-RSL(39)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(40)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_wrong_nr-RSL-IPA(38)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr-RSL-IPA(38): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr-RSL(39): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(40): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(41): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(42): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(43): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(44): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(45): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(46): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(47): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(48): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(49): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(50): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(51): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(52): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_wrong_nr(53): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_wrong_nr finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass'. Mon Jun 24 13:46:46 UTC 2024 ====== BTS_Tests.TC_chan_act_wrong_nr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_wrong_nr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1998689) Waiting for packet dumper to finish... 1 (prev_count=1998689, count=2003864) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_wrong_nr pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch'. ------ BTS_Tests.TC_deact_sacch ------ Mon Jun 24 13:46:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_deact_sacch.pcap" >/data/BTS_Tests.TC_deact_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_deact_sacch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_deact_sacch started. TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_deact_sacch-RSL(55)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_deact_sacch-RSL(55)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_deact_sacch-RSL(55)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_deact_sacch-RSL(55)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_deact_sacch-RSL(55)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_deact_sacch(57)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(57)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(57)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_deact_sacch(58)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(58)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(58)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_deact_sacch(59)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(59)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(59)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_deact_sacch(60)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(60)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(60)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_deact_sacch(61)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(61)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(61)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_deact_sacch(62)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(62)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(62)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(63)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(63)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(63)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_deact_sacch(64)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(64)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(64)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_deact_sacch(65)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(65)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(65)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_deact_sacch(66)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(66)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(66)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(67)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(67)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(67)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(68)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(68)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(68)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(69)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(69)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(69)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(70)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(70)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(70)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(71)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(71)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(71)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(72)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(72)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(72)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(73)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(73)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(73)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(74)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(74)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(74)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(75)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(75)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(75)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_deact_sacch: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_deact_sacch(76)@cf52eee7cfd6: setverdict(pass): none -> pass TC_deact_sacch(76)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_deact_sacch(76)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1210 TC_deact_sacch-RSL(55)@cf52eee7cfd6: Final verdict of PTC: none TC_deact_sacch-RSL-IPA(54)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(56)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch-RSL-IPA(54): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch-RSL(55): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(56): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(57): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(58): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(59): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(60): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(61): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(62): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(63): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(64): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(65): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(66): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(67): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(68): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(69): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(70): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(71): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(72): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(73): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(74): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(75): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_deact_sacch(76): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_deact_sacch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass'. Mon Jun 24 13:48:21 UTC 2024 ====== BTS_Tests.TC_deact_sacch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_deact_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=77560159) Waiting for packet dumper to finish... 1 (prev_count=77560159, count=77560658) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_deact_sacch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling'. ------ BTS_Tests.TC_sacch_filling ------ Mon Jun 24 13:48:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_filling.pcap" >/data/BTS_Tests.TC_sacch_filling.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_filling' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_filling started. TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL(78)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_filling-RSL(78)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL(78)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_filling-RSL(78)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_filling-RSL(78)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_filling-RSL(78)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_filling-RSL(78)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_filling-RSL(78)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_filling(80)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(80)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(80)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_filling(81)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(81)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(81)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_filling(82)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(82)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(82)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_filling(83)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(83)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(83)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_filling(84)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(84)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(84)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_filling(85)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(85)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(85)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(86)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(86)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(86)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_filling(87)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(87)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(87)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_filling(88)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(88)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(88)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_filling(89)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(89)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(89)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(90)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(90)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(90)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(91)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(91)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(91)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(92)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(92)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(92)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(93)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(93)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(93)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(94)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(94)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(94)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(95)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(95)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(95)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(96)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(96)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(96)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(97)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(97)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(97)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(98)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(98)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(98)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_filling: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_filling(99)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_filling(99)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_filling(99)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1295 TC_sacch_filling-RSL(78)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(79)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_filling-RSL-IPA(77)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling-RSL-IPA(77): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling-RSL(78): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(79): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(80): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(81): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(82): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(83): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(84): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(85): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(86): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(87): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(88): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(89): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(90): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(91): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(92): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(93): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(94): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(95): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(96): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(97): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(98): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_filling(99): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_filling finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass'. Mon Jun 24 13:48:41 UTC 2024 ====== BTS_Tests.TC_sacch_filling pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_filling.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11437601) Waiting for packet dumper to finish... 1 (prev_count=11437601, count=11438100) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_filling pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod'. ------ BTS_Tests.TC_sacch_info_mod ------ Mon Jun 24 13:48:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_info_mod.pcap" >/data/BTS_Tests.TC_sacch_info_mod.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_info_mod' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_info_mod started. TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_info_mod(103)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(103)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_info_mod(104)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(104)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_info_mod(105)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(105)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_info_mod(106)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(106)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_info_mod(107)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(107)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_info_mod(108)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(108)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(109)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(109)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_info_mod(110)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(110)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_info_mod(111)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(111)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_info_mod(112)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(112)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(113)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(113)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(114)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(114)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(115)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(115)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(116)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(116)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(117)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(117)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(118)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(118)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(119)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(119)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(120)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(120)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(121)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(121)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_info_mod: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_info_mod(122)@cf52eee7cfd6: Activating channel, expecting standard SI5 TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: Setting channel specific SACCH INFO, expecting it TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: De-activating and re-activating channel, expecting standard SI5 TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_info_mod(122)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1343 TC_sacch_info_mod-RSL(101)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(102)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_info_mod-RSL-IPA(100)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod-RSL-IPA(100): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod-RSL(101): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(102): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(103): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(104): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(105): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(106): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(107): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(108): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(109): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(110): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(111): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(112): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(113): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(114): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(115): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(116): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(117): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(118): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(119): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(120): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(121): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_info_mod(122): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_info_mod finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass'. Mon Jun 24 13:49:16 UTC 2024 ====== BTS_Tests.TC_sacch_info_mod pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_info_mod.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27192856) Waiting for packet dumper to finish... 1 (prev_count=27192856, count=27198031) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_info_mod pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi'. ------ BTS_Tests.TC_sacch_multi ------ Mon Jun 24 13:49:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi.pcap" >/data/BTS_Tests.TC_sacch_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_multi started. TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL(124)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_multi-RSL(124)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi-RSL(124)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi-RSL(124)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi-RSL(124)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_multi-RSL(124)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_multi-RSL(124)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi-RSL(124)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(126)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(127)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(128)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(129)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(130)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(131)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(132)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(133)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(134)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(135)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(136)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(137)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(138)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(139)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(140)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(141)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(142)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(143)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(144)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi(145)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1386 TC_sacch_multi-RSL(124)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_multi-RSL-IPA(123)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(125)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi-RSL-IPA(123): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi-RSL(124): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(125): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(126): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(127): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(128): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(129): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(130): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(131): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(132): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(133): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(134): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(135): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(136): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(137): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(138): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(139): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(140): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(141): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(142): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(143): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(144): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi(145): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_multi finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass'. Mon Jun 24 13:50:48 UTC 2024 ====== BTS_Tests.TC_sacch_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=75815329) Waiting for packet dumper to finish... 1 (prev_count=75815329, count=75815828) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg'. ------ BTS_Tests.TC_sacch_multi_chg ------ Mon Jun 24 13:50:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_multi_chg.pcap" >/data/BTS_Tests.TC_sacch_multi_chg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_multi_chg' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_multi_chg started. TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(149)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(150)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(151)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(152)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(153)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(154)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(155)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(156)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(157)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(158)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(159)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(160)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(161)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(162)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(163)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(164)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(165)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(166)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(167)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_multi_chg: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_multi_chg(168)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1432 TC_sacch_multi_chg-RSL(147)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_multi_chg-RSL-IPA(146)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(148)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg-RSL-IPA(146): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg-RSL(147): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(148): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(149): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(150): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(151): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(152): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(153): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(154): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(155): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(156): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(157): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(158): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(159): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(160): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(161): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(162): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(163): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(164): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(165): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(166): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(167): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_multi_chg(168): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_multi_chg finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass'. Mon Jun 24 13:52:27 UTC 2024 ====== BTS_Tests.TC_sacch_multi_chg pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_multi_chg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=79227949) Waiting for packet dumper to finish... 1 (prev_count=79227949, count=79228448) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_multi_chg pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act'. ------ BTS_Tests.TC_sacch_chan_act ------ Mon Jun 24 13:52:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act.pcap" >/data/BTS_Tests.TC_sacch_chan_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_chan_act started. TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_sacch_chan_act(172)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(172)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(172)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_sacch_chan_act(173)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(173)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(173)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act(174)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(174)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(174)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_sacch_chan_act(175)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(175)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(175)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act(176)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(176)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(176)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sacch_chan_act(177)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(177)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(177)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(178)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(178)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(178)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_sacch_chan_act(179)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(179)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(179)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_sacch_chan_act(180)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(180)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(180)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_sacch_chan_act(181)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(181)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(181)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(182)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(182)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(182)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(183)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(183)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(183)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(184)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(184)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(184)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(185)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(185)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(185)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(186)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(186)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(186)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(187)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(187)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(187)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(188)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(188)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(188)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(189)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(189)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(189)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(190)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(190)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(190)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act(191)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act(191)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act(191)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1478 TC_sacch_chan_act-RSL(170)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_chan_act-RSL-IPA(169)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(171)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act-RSL-IPA(169): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act-RSL(170): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(171): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(172): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(173): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(174): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(175): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(176): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(177): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(178): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(179): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(180): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(181): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(182): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(183): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(184): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(185): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(186): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(187): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(188): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(189): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(190): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act(191): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_chan_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass'. Mon Jun 24 13:53:06 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27529005) Waiting for packet dumper to finish... 1 (prev_count=27529005, count=27534180) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async'. ------ BTS_Tests.TC_sacch_chan_act_ho_async ------ Mon Jun 24 13:53:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_async.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_async' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_chan_act_ho_async started. TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_async: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(195)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_async: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(196)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(197)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_async: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_async(198)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1557 TC_sacch_chan_act_ho_async-RSL(193)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(194)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_chan_act_ho_async-RSL-IPA(192)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL-IPA(192): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async-RSL(193): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(194): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async(195): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async(196): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async(197): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_async(198): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_chan_act_ho_async finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass'. Mon Jun 24 13:53:41 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_async pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_async.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=27716259) Waiting for packet dumper to finish... 1 (prev_count=27716259, count=27721871) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_async pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync'. ------ BTS_Tests.TC_sacch_chan_act_ho_sync ------ Mon Jun 24 13:53:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap" >/data/BTS_Tests.TC_sacch_chan_act_ho_sync.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_sacch_chan_act_ho_sync' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sacch_chan_act_ho_sync started. TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_sync: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(202)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_sync: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(203)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(204)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_sacch_chan_act_ho_sync: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): none -> pass TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: Timeout waiting for SACCH 'FE74113BCFDE70C8D7E846E6596BAEE467305D'O TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_sacch_chan_act_ho_sync(205)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1695 TC_sacch_chan_act_ho_sync-RSL(200)@cf52eee7cfd6: Final verdict of PTC: none TC_sacch_chan_act_ho_sync-RSL-IPA(199)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(201)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL-IPA(199): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync-RSL(200): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(201): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync(202): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync(203): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync(204): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sacch_chan_act_ho_sync(205): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sacch_chan_act_ho_sync finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass'. Mon Jun 24 13:54:34 UTC 2024 ====== BTS_Tests.TC_sacch_chan_act_ho_sync pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_sacch_chan_act_ho_sync.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=44154196) Waiting for packet dumper to finish... 1 (prev_count=44154196, count=44154695) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_sacch_chan_act_ho_sync pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content'. ------ BTS_Tests.TC_rach_content ------ Mon Jun 24 13:54:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content.pcap" >/data/BTS_Tests.TC_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_content started. TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL(207)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content-RSL(207)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_content-RSL(207)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content-RSL(207)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_content-RSL(207)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_content-RSL(207)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_content-RSL(207)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content-RSL(207)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_content-RSL(207)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content-RSL(207)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(208)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_content-RSL-IPA(206)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_content-RSL-IPA(206): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_content-RSL(207): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(208): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_content finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass'. Mon Jun 24 13:54:48 UTC 2024 ====== BTS_Tests.TC_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11790616) Waiting for packet dumper to finish... 1 (prev_count=11790616, count=11791115) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg'. ------ BTS_Tests.TC_rach_content_emerg ------ Mon Jun 24 13:54:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_content_emerg.pcap" >/data/BTS_Tests.TC_rach_content_emerg.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_content_emerg' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_content_emerg started. TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B0'O and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AC'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A2'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A9'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A6'O and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B6'O and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A7'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B9'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B1'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BB'O and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AA'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BE'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A0'O and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AE'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B7'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AF'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BA'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BC'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BF'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A5'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B4'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A8'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AD'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='BD'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A1'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A3'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B5'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='AB'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='A4'O and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B8'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B3'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: No Dchan handler for ra='B2'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1744 TC_rach_content_emerg-RSL(210)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(211)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_content_emerg-RSL-IPA(209)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_content_emerg-RSL-IPA(209): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_content_emerg-RSL(210): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(211): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_content_emerg finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass'. Mon Jun 24 13:55:03 UTC 2024 ====== BTS_Tests.TC_rach_content_emerg pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_content_emerg.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11759715) Waiting for packet dumper to finish... 1 (prev_count=11759715, count=11760214) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_content_emerg pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count'. ------ BTS_Tests.TC_rach_count ------ Mon Jun 24 13:55:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_count.pcap" >/data/BTS_Tests.TC_rach_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_count' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_count started. TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_count-RSL(213)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_count-RSL(213)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_count-RSL(213)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_count-RSL(213)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_count-RSL(213)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_count-RSL(213)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 5, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='24'O ("$") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='02'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 5, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_count-RSL(213)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1790 TC_rach_count-RSL(213)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_count-RSL-IPA(212)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(214)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_count-RSL-IPA(212): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_count-RSL(213): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(214): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_count finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass'. Mon Jun 24 13:55:21 UTC 2024 ====== BTS_Tests.TC_rach_count pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14901308) Waiting for packet dumper to finish... 1 (prev_count=14901308, count=14906920) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_count pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta'. ------ BTS_Tests.TC_rach_max_ta ------ Mon Jun 24 13:55:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_max_ta.pcap" >/data/BTS_Tests.TC_rach_max_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_max_ta' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_max_ta started. TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 35, t2 := 25 } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 14, t2 := 19 } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 0 } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_max_ta-RSL(216)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1981 TC_rach_max_ta-RSL(216)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(217)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_max_ta-RSL-IPA(215)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_max_ta-RSL-IPA(215): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_max_ta-RSL(216): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(217): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_max_ta finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass'. Mon Jun 24 13:55:36 UTC 2024 ====== BTS_Tests.TC_rach_max_ta pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_max_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10492941) Waiting for packet dumper to finish... 1 (prev_count=10492941, count=10498116) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_max_ta pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach'. ------ BTS_Tests.TC_ho_rach ------ Mon Jun 24 13:55:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_rach.pcap" >/data/BTS_Tests.TC_ho_rach.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_rach' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ho_rach started. TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL(219)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL(219)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ho_rach-RSL(219)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_rach-RSL(219)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_rach-RSL(219)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_rach-RSL(219)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ho_rach-RSL(219)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ho_rach-RSL(219)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_rach-RSL(219)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } TC_ho_rach(221)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(221)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(221)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(221)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } TC_ho_rach(222)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(222)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 1 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(222)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(222)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ho_rach(223)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(223)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(223)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(223)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } TC_ho_rach(224)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(224)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 3 } }, tn := 0 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(224)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(224)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_rach(225)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(225)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(225)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(225)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_ho_rach(226)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(226)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 2 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(226)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(226)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(227)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(227)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 3 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(227)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(227)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } TC_ho_rach(228)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(228)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(228)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(228)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } TC_ho_rach(229)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(229)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(229)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(229)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } TC_ho_rach(230)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(230)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 4 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(230)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(230)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(231)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(231)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(231)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(231)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(232)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(232)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } TC_ho_rach(232)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(232)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(233)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(233)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(233)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(233)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(234)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(234)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 1 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(234)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(234)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(235)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(235)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 2 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(235)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(235)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(236)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(236)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 3 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(236)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(236)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(237)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(237)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(237)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(237)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(238)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(238)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 5 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(238)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(238)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(239)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(239)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 6 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(239)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(239)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ho_rach: Starting for { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ho_rach(240)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_rach(240)@cf52eee7cfd6: Handover RACH has been detected: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_HANDO_DET (39), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 7 } }, tn := 6 } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 2 } } } } TC_ho_rach(240)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ho_rach(240)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2050 TC_ho_rach-RSL(219)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(220)@cf52eee7cfd6: Final verdict of PTC: none TC_ho_rach-RSL-IPA(218)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach-RSL-IPA(218): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach-RSL(219): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(220): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(221): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(222): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(223): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(224): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(225): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(226): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(227): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(228): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(229): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(230): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(231): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(232): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(233): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(234): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(235): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(236): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(237): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(238): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(239): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_rach(240): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_ho_rach finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass'. Mon Jun 24 13:55:45 UTC 2024 ====== BTS_Tests.TC_ho_rach pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ho_rach.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4730156) Waiting for packet dumper to finish... 1 (prev_count=4730156, count=4730655) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_rach pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info'. ------ BTS_Tests.TC_ho_physical_info ------ Mon Jun 24 13:55:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ho_physical_info.pcap" >/data/BTS_Tests.TC_ho_physical_info.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ho_physical_info' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ho_physical_info started. TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_ho_physical_info: Starting for { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ho_physical_info(244)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ho_physical_info(244)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }", new component reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info(244)@cf52eee7cfd6: Sending handover Access Burst TC_ho_physical_info(244)@cf52eee7cfd6: Rx RR Physical Information: { discriminator := '0110'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { rrm := { physicalInformation := { messageType := '00101101'B, timingAdvance := '02'O } } } } TC_ho_physical_info(244)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Timeout waiting for RR Physical Information", component reason not changed TC_ho_physical_info(244)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2115 MC@cf52eee7cfd6: Test Component 244 has requested to stop MTC. Terminating current testcase execution. TC_ho_physical_info-RSL(242)@cf52eee7cfd6: Final verdict of PTC: none TC_ho_physical_info(244)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" TC_ho_physical_info-RSL-IPA(241)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(243)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_physical_info-RSL-IPA(241): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_physical_info-RSL(242): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ho_physical_info(244): fail (none -> fail) reason: "Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } }" MTC@cf52eee7cfd6: Test case TC_ho_physical_info finished. Verdict: fail reason: Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail'. Mon Jun 24 13:55:53 UTC 2024 ------ BTS_Tests.TC_ho_physical_info fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ho_physical_info.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4287816) Waiting for packet dumper to finish... 1 (prev_count=4287816, count=4288315) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ho_physical_info fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0'. ------ BTS_Tests.TC_rach_load_idle_thresh0 ------ Mon Jun 24 13:55:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap" >/data/BTS_Tests.TC_rach_load_idle_thresh0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_thresh0' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_load_idle_thresh0 started. TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1837 TC_rach_load_idle_thresh0-RSL(246)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(247)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_load_idle_thresh0-RSL-IPA(245)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_idle_thresh0-RSL-IPA(245): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_idle_thresh0-RSL(246): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(247): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_load_idle_thresh0 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass'. Mon Jun 24 13:56:09 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_thresh0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_idle_thresh0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5456374) Waiting for packet dumper to finish... 1 (prev_count=5456374, count=5456873) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_thresh0 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh'. ------ BTS_Tests.TC_rach_load_idle_below_thresh ------ Mon Jun 24 13:56:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap" >/data/BTS_Tests.TC_rach_load_idle_below_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_idle_below_thresh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_load_idle_below_thresh started. TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1862 TC_rach_load_idle_below_thresh-RSL(249)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(250)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_load_idle_below_thresh-RSL-IPA(248)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL-IPA(248): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_idle_below_thresh-RSL(249): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(250): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_load_idle_below_thresh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass'. Mon Jun 24 13:56:19 UTC 2024 ====== BTS_Tests.TC_rach_load_idle_below_thresh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_idle_below_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4156550) Waiting for packet dumper to finish... 1 (prev_count=4156550, count=4157049) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_idle_below_thresh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count'. ------ BTS_Tests.TC_rach_load_count ------ Mon Jun 24 13:56:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rach_load_count.pcap" >/data/BTS_Tests.TC_rach_load_count.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rach_load_count' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rach_load_count started. TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL(252)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rach_load_count-RSL(252)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rach_load_count-RSL(252)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rach_load_count-RSL(252)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rach_load_count-RSL(252)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rach_load_count-RSL(252)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 0, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 0, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 0, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 0, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 0, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 0, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 0, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 0, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 0, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 0, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 0, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 0, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 0, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 0, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 0, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='94'O and fn={ t1_p := 0, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 0, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 0, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 0, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DB'O and fn={ t1_p := 0, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 0, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 0, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 0, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 0, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 0, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 0, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 0, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 0, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 0, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 0, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 0, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5C'O ("\\") and fn={ t1_p := 0, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 0, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='65'O ("e") and fn={ t1_p := 0, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 0, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 0, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 0, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 0, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FC'O and fn={ t1_p := 0, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 0, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 0, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 0, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 0, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 0, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 0, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 0, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 0, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4F'O ("O") and fn={ t1_p := 0, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 0, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 0, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 0, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 0, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 0, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 0, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 0, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 0, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 5, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 0, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 0, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 0, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 0, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 0, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5E'O ("^") and fn={ t1_p := 0, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 34, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 35, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 0, t3 := 36, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 45, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 46, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 0, t3 := 4, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 5, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 0, t3 := 14, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 0, t3 := 15, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 16, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 0, t3 := 17, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 0, t3 := 18, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 19, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 20, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 21, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 0, t3 := 22, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 23, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 24, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 0, t3 := 25, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 0, t3 := 26, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 27, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 28, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 29, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 30, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 0, t3 := 31, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 32, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 0, t3 := 33, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 34, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 0, t3 := 35, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 36, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 0, t3 := 45, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 46, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 4, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 0, t3 := 5, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 0, t3 := 14, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 0, t3 := 15, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 16, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 0, t3 := 17, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 18, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 0, t3 := 19, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 20, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 0, t3 := 21, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 0, t3 := 22, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 23, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 0, t3 := 24, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 25, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 0, t3 := 26, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 0, t3 := 27, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 28, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 29, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 30, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 0, t3 := 31, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 0, t3 := 32, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 0, t3 := 33, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 0, t3 := 34, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 35, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 0, t3 := 36, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 45, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='20'O (" ") and fn={ t1_p := 0, t3 := 46, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 4, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 0, t3 := 5, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 14, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 15, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 16, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 17, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 0, t3 := 18, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 19, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 20, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 21, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 0, t3 := 22, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 23, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 24, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 0, t3 := 25, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 26, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 27, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 0, t3 := 28, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 0, t3 := 29, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 0, t3 := 30, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 31, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 0, t3 := 32, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F9'O and fn={ t1_p := 0, t3 := 33, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 34, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 35, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 36, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 0, t3 := 45, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 46, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 4, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 0, t3 := 5, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 0, t3 := 14, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 15, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 16, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 17, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 18, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 19, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 20, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 21, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 22, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 0, t3 := 23, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 0, t3 := 24, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F7'O and fn={ t1_p := 0, t3 := 25, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 0, t3 := 26, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 0, t3 := 27, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 28, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 0, t3 := 29, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CF'O and fn={ t1_p := 0, t3 := 30, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='04'O and fn={ t1_p := 0, t3 := 31, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 32, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 33, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 34, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 0, t3 := 35, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 0, t3 := 36, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 45, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 46, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 0, t3 := 4, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 0, t3 := 14, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 15, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 0, t3 := 16, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 0, t3 := 17, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 0, t3 := 18, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 0, t3 := 19, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 0, t3 := 20, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 0, t3 := 21, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 0, t3 := 22, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 23, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 0, t3 := 24, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 0, t3 := 25, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3E'O (">") and fn={ t1_p := 0, t3 := 26, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 0, t3 := 27, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 28, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 29, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 0, t3 := 30, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 0, t3 := 31, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 32, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 0, t3 := 33, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 0, t3 := 34, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 35, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 36, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 0, t3 := 45, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 0, t3 := 46, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 4, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 0, t3 := 5, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 0, t3 := 14, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 15, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 0, t3 := 16, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 0, t3 := 17, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 18, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 0, t3 := 19, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 20, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='03'O and fn={ t1_p := 0, t3 := 21, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 0, t3 := 22, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 0, t3 := 23, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 0, t3 := 24, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='53'O ("S") and fn={ t1_p := 0, t3 := 25, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 0, t3 := 26, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 0, t3 := 27, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 0, t3 := 28, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 29, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 0, t3 := 30, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 31, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 0, t3 := 32, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 0, t3 := 33, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 34, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 36, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 0, t3 := 45, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 0, t3 := 46, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 0, t3 := 4, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 0, t3 := 5, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 0, t3 := 14, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 15, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 0, t3 := 16, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 0, t3 := 17, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 0, t3 := 18, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 19, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 0, t3 := 20, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F4'O and fn={ t1_p := 0, t3 := 21, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 0, t3 := 22, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 0, t3 := 23, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 0, t3 := 24, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='27'O ("'") and fn={ t1_p := 0, t3 := 25, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 26, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 27, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 0, t3 := 28, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 0, t3 := 29, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 0, t3 := 30, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 0, t3 := 31, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 0, t3 := 32, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2E'O (".") and fn={ t1_p := 0, t3 := 33, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 0, t3 := 34, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3A'O (":") and fn={ t1_p := 0, t3 := 35, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 0, t3 := 36, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FB'O and fn={ t1_p := 0, t3 := 45, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 46, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='93'O and fn={ t1_p := 0, t3 := 4, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 0, t3 := 5, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 0, t3 := 14, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 0, t3 := 15, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='86'O and fn={ t1_p := 0, t3 := 16, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 0, t3 := 17, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EF'O and fn={ t1_p := 0, t3 := 18, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 0, t3 := 19, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 20, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 0, t3 := 21, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 0, t3 := 22, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 0, t3 := 23, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 0, t3 := 24, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 0, t3 := 25, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 0, t3 := 26, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 0, t3 := 27, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 0, t3 := 28, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 0, t3 := 29, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E0'O and fn={ t1_p := 0, t3 := 30, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 0, t3 := 31, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 0, t3 := 32, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 0, t3 := 33, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 0, t3 := 34, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 0, t3 := 35, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 0, t3 := 36, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 0, t3 := 45, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 0, t3 := 46, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 4, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 5, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 14, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 15, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 16, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 17, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 18, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 19, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 20, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DF'O and fn={ t1_p := 1, t3 := 21, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 22, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 23, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 24, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 25, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 26, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='96'O and fn={ t1_p := 1, t3 := 27, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 28, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 29, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='83'O and fn={ t1_p := 1, t3 := 30, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EA'O and fn={ t1_p := 1, t3 := 31, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 32, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 33, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 34, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 35, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 36, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 45, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 46, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='28'O ("(") and fn={ t1_p := 1, t3 := 4, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 5, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 14, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 15, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 16, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 17, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 18, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2F'O ("/") and fn={ t1_p := 1, t3 := 19, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 20, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 21, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 22, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 23, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 24, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 25, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 26, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 27, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 28, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 29, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 30, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 31, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 32, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 33, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 34, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 35, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 36, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 45, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 46, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 4, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 5, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9C'O and fn={ t1_p := 1, t3 := 14, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='62'O ("b") and fn={ t1_p := 1, t3 := 15, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 16, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 17, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 18, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 19, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 21, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 22, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 23, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 24, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 25, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 26, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 27, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 28, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 29, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 30, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='30'O ("0") and fn={ t1_p := 1, t3 := 31, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3D'O ("=") and fn={ t1_p := 1, t3 := 32, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F2'O and fn={ t1_p := 1, t3 := 33, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 34, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 35, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 36, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3C'O ("<") and fn={ t1_p := 1, t3 := 45, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 46, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 4, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 5, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 14, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 15, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 16, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 17, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 18, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 19, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 20, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 21, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 22, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 23, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 24, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 25, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='81'O and fn={ t1_p := 1, t3 := 26, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 27, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 28, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 29, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='07'O ("\a") and fn={ t1_p := 1, t3 := 30, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 31, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 32, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 33, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 34, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 35, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='97'O and fn={ t1_p := 1, t3 := 36, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 45, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 46, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E3'O and fn={ t1_p := 1, t3 := 4, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 5, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 14, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 15, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 16, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 17, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 18, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 19, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 20, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 22, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 23, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 24, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='05'O and fn={ t1_p := 1, t3 := 25, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 26, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 27, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 28, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 29, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='17'O and fn={ t1_p := 1, t3 := 30, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 31, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 32, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 33, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 34, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 35, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 36, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 45, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 46, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 4, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 5, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 14, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0D'O ("\r") and fn={ t1_p := 1, t3 := 15, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 16, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 17, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 18, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 19, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 20, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 21, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 22, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 23, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 24, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 25, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 26, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DD'O and fn={ t1_p := 1, t3 := 27, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 28, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 29, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 30, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='31'O ("1") and fn={ t1_p := 1, t3 := 31, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='13'O and fn={ t1_p := 1, t3 := 32, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 33, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 34, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 35, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='39'O ("9") and fn={ t1_p := 1, t3 := 36, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 45, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 46, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 4, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 5, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D5'O and fn={ t1_p := 1, t3 := 14, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 15, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 16, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='01'O and fn={ t1_p := 1, t3 := 17, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 18, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 19, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F3'O and fn={ t1_p := 1, t3 := 20, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 21, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='92'O and fn={ t1_p := 1, t3 := 22, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C5'O and fn={ t1_p := 1, t3 := 23, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 24, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 25, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 26, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C0'O and fn={ t1_p := 1, t3 := 27, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 28, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 29, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 30, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6C'O ("l") and fn={ t1_p := 1, t3 := 31, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 32, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 33, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 34, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 35, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5F'O ("_") and fn={ t1_p := 1, t3 := 36, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 45, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 46, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 4, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='99'O and fn={ t1_p := 1, t3 := 5, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 14, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 15, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 16, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 17, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 18, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FD'O and fn={ t1_p := 1, t3 := 19, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C7'O and fn={ t1_p := 1, t3 := 20, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 21, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 22, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 23, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8F'O and fn={ t1_p := 1, t3 := 24, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 25, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 26, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C9'O and fn={ t1_p := 1, t3 := 27, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 28, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 29, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 30, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 31, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 32, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 33, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 34, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 35, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 36, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='95'O and fn={ t1_p := 1, t3 := 45, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 46, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='66'O ("f") and fn={ t1_p := 1, t3 := 4, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0C'O ("\f") and fn={ t1_p := 1, t3 := 5, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 14, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D1'O and fn={ t1_p := 1, t3 := 15, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E9'O and fn={ t1_p := 1, t3 := 16, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='14'O and fn={ t1_p := 1, t3 := 17, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='54'O ("T") and fn={ t1_p := 1, t3 := 18, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 19, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 20, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 21, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='00'O and fn={ t1_p := 1, t3 := 22, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 23, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='48'O ("H") and fn={ t1_p := 1, t3 := 24, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 25, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 26, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 27, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 28, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 29, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 30, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 31, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 32, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6B'O ("k") and fn={ t1_p := 1, t3 := 33, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4B'O ("K") and fn={ t1_p := 1, t3 := 34, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 35, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 36, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 45, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 46, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3F'O ("?") and fn={ t1_p := 1, t3 := 4, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 5, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 14, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0E'O and fn={ t1_p := 1, t3 := 15, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 16, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 17, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 18, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 19, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F8'O and fn={ t1_p := 1, t3 := 20, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 21, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='18'O and fn={ t1_p := 1, t3 := 22, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='91'O and fn={ t1_p := 1, t3 := 23, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CB'O and fn={ t1_p := 1, t3 := 24, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9A'O and fn={ t1_p := 1, t3 := 25, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DE'O and fn={ t1_p := 1, t3 := 26, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E7'O and fn={ t1_p := 1, t3 := 27, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 28, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 29, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 30, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='38'O ("8") and fn={ t1_p := 1, t3 := 31, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 32, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5A'O ("Z") and fn={ t1_p := 1, t3 := 33, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 34, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 35, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9F'O and fn={ t1_p := 1, t3 := 36, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 45, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 46, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 4, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='69'O ("i") and fn={ t1_p := 1, t3 := 5, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 14, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 15, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='09'O ("\t") and fn={ t1_p := 1, t3 := 16, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='57'O ("W") and fn={ t1_p := 1, t3 := 17, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 18, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 19, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 20, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 21, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 22, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 23, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 24, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 25, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 26, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='12'O and fn={ t1_p := 1, t3 := 27, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 28, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 29, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 30, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E6'O and fn={ t1_p := 1, t3 := 31, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='51'O ("Q") and fn={ t1_p := 1, t3 := 32, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 33, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 34, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 35, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='41'O ("A") and fn={ t1_p := 1, t3 := 36, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 45, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8C'O and fn={ t1_p := 1, t3 := 46, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 4, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8E'O and fn={ t1_p := 1, t3 := 5, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6F'O ("o") and fn={ t1_p := 1, t3 := 14, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 15, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 16, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 17, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 18, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 19, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 20, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 21, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 22, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 23, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='85'O and fn={ t1_p := 1, t3 := 24, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 25, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='68'O ("h") and fn={ t1_p := 1, t3 := 27, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5B'O ("[") and fn={ t1_p := 1, t3 := 28, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 29, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 30, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 31, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 32, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4C'O ("L") and fn={ t1_p := 1, t3 := 33, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='84'O and fn={ t1_p := 1, t3 := 34, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 35, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 36, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 45, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 46, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 4, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 5, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 14, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 15, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4D'O ("M") and fn={ t1_p := 1, t3 := 16, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='88'O and fn={ t1_p := 1, t3 := 17, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 18, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='60'O ("`") and fn={ t1_p := 1, t3 := 19, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 20, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 21, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='56'O ("V") and fn={ t1_p := 1, t3 := 22, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8A'O and fn={ t1_p := 1, t3 := 23, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 24, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 25, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='90'O and fn={ t1_p := 1, t3 := 26, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='36'O ("6") and fn={ t1_p := 1, t3 := 27, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6D'O ("m") and fn={ t1_p := 1, t3 := 28, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1A'O and fn={ t1_p := 1, t3 := 29, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 30, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='46'O ("F") and fn={ t1_p := 1, t3 := 31, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 32, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='98'O and fn={ t1_p := 1, t3 := 33, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 34, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='11'O and fn={ t1_p := 1, t3 := 36, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='5D'O ("]") and fn={ t1_p := 1, t3 := 45, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 46, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E8'O and fn={ t1_p := 1, t3 := 4, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 5, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1E'O and fn={ t1_p := 1, t3 := 14, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 15, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 16, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 17, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='82'O and fn={ t1_p := 1, t3 := 18, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 19, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 20, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 21, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 22, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 23, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 24, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8B'O and fn={ t1_p := 1, t3 := 25, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EC'O and fn={ t1_p := 1, t3 := 26, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EE'O and fn={ t1_p := 1, t3 := 27, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='49'O ("I") and fn={ t1_p := 1, t3 := 28, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='64'O ("d") and fn={ t1_p := 1, t3 := 29, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 30, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1D'O and fn={ t1_p := 1, t3 := 31, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 32, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='15'O and fn={ t1_p := 1, t3 := 33, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='37'O ("7") and fn={ t1_p := 1, t3 := 34, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 35, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9B'O and fn={ t1_p := 1, t3 := 36, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E2'O and fn={ t1_p := 1, t3 := 45, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 46, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='33'O ("3") and fn={ t1_p := 1, t3 := 4, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 5, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 14, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='EB'O and fn={ t1_p := 1, t3 := 15, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1F'O and fn={ t1_p := 1, t3 := 16, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 17, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E5'O and fn={ t1_p := 1, t3 := 18, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9E'O and fn={ t1_p := 1, t3 := 19, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F5'O and fn={ t1_p := 1, t3 := 20, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FE'O and fn={ t1_p := 1, t3 := 21, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='7F'O and fn={ t1_p := 1, t3 := 22, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 23, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0A'O ("\n") and fn={ t1_p := 1, t3 := 24, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='52'O ("R") and fn={ t1_p := 1, t3 := 25, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='9D'O and fn={ t1_p := 1, t3 := 26, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='34'O ("4") and fn={ t1_p := 1, t3 := 27, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 28, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2A'O ("*") and fn={ t1_p := 1, t3 := 29, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 30, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 31, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='67'O ("g") and fn={ t1_p := 1, t3 := 32, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='16'O and fn={ t1_p := 1, t3 := 33, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='22'O ("\"") and fn={ t1_p := 1, t3 := 34, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CE'O and fn={ t1_p := 1, t3 := 35, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D9'O and fn={ t1_p := 1, t3 := 36, t2 := 22 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E1'O and fn={ t1_p := 1, t3 := 45, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 46, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='58'O ("X") and fn={ t1_p := 1, t3 := 4, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='61'O ("a") and fn={ t1_p := 1, t3 := 5, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='10'O and fn={ t1_p := 1, t3 := 14, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DC'O and fn={ t1_p := 1, t3 := 15, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4A'O ("J") and fn={ t1_p := 1, t3 := 16, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 17, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='43'O ("C") and fn={ t1_p := 1, t3 := 18, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='06'O and fn={ t1_p := 1, t3 := 19, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C1'O and fn={ t1_p := 1, t3 := 20, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='45'O ("E") and fn={ t1_p := 1, t3 := 21, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 22, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 23, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2D'O ("-") and fn={ t1_p := 1, t3 := 24, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C8'O and fn={ t1_p := 1, t3 := 25, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 26, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='29'O (")") and fn={ t1_p := 1, t3 := 27, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0B'O ("\v") and fn={ t1_p := 1, t3 := 28, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CA'O and fn={ t1_p := 1, t3 := 29, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='55'O ("U") and fn={ t1_p := 1, t3 := 30, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='40'O ("@") and fn={ t1_p := 1, t3 := 31, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 32, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C4'O and fn={ t1_p := 1, t3 := 33, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='08'O ("\b") and fn={ t1_p := 1, t3 := 34, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='80'O and fn={ t1_p := 1, t3 := 35, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='63'O ("c") and fn={ t1_p := 1, t3 := 36, t2 := 21 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C2'O and fn={ t1_p := 1, t3 := 45, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CD'O and fn={ t1_p := 1, t3 := 46, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 4, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='26'O ("&") and fn={ t1_p := 1, t3 := 5, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='89'O and fn={ t1_p := 1, t3 := 14, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='87'O and fn={ t1_p := 1, t3 := 15, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 16, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='42'O ("B") and fn={ t1_p := 1, t3 := 17, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C3'O and fn={ t1_p := 1, t3 := 18, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='35'O ("5") and fn={ t1_p := 1, t3 := 19, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='E4'O and fn={ t1_p := 1, t3 := 20, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='ED'O and fn={ t1_p := 1, t3 := 21, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 22, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 23, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='25'O ("%") and fn={ t1_p := 1, t3 := 24, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 25, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='23'O ("#") and fn={ t1_p := 1, t3 := 26, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 27, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 28, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='32'O ("2") and fn={ t1_p := 1, t3 := 29, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='21'O ("!") and fn={ t1_p := 1, t3 := 30, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='CC'O and fn={ t1_p := 1, t3 := 31, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='47'O ("G") and fn={ t1_p := 1, t3 := 32, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='8D'O and fn={ t1_p := 1, t3 := 33, t2 := 17 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D4'O and fn={ t1_p := 1, t3 := 34, t2 := 18 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D6'O and fn={ t1_p := 1, t3 := 35, t2 := 19 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='59'O ("Y") and fn={ t1_p := 1, t3 := 36, t2 := 20 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='DA'O and fn={ t1_p := 1, t3 := 45, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FF'O and fn={ t1_p := 1, t3 := 46, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F6'O and fn={ t1_p := 1, t3 := 4, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='44'O ("D") and fn={ t1_p := 1, t3 := 14, t2 := 23 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D2'O and fn={ t1_p := 1, t3 := 15, t2 := 24 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F0'O and fn={ t1_p := 1, t3 := 16, t2 := 25 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1C'O and fn={ t1_p := 1, t3 := 17, t2 := 0 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2C'O (",") and fn={ t1_p := 1, t3 := 18, t2 := 1 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='2B'O ("+") and fn={ t1_p := 1, t3 := 19, t2 := 2 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D7'O and fn={ t1_p := 1, t3 := 20, t2 := 3 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='4E'O ("N") and fn={ t1_p := 1, t3 := 21, t2 := 4 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='3B'O (";") and fn={ t1_p := 1, t3 := 22, t2 := 5 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D3'O and fn={ t1_p := 1, t3 := 23, t2 := 6 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D0'O and fn={ t1_p := 1, t3 := 24, t2 := 7 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6A'O ("j") and fn={ t1_p := 1, t3 := 25, t2 := 8 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='FA'O and fn={ t1_p := 1, t3 := 26, t2 := 9 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='0F'O and fn={ t1_p := 1, t3 := 27, t2 := 10 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='1B'O and fn={ t1_p := 1, t3 := 28, t2 := 11 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='50'O ("P") and fn={ t1_p := 1, t3 := 29, t2 := 12 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='D8'O and fn={ t1_p := 1, t3 := 30, t2 := 13 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='6E'O ("n") and fn={ t1_p := 1, t3 := 31, t2 := 14 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='F1'O and fn={ t1_p := 1, t3 := 32, t2 := 15 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='C6'O and fn={ t1_p := 1, t3 := 33, t2 := 16 } TC_rach_load_count-RSL(252)@cf52eee7cfd6: No Dchan handler for ra='19'O and fn={ t1_p := 1, t3 := 34, t2 := 17 } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1926 TC_rach_load_count-RSL(252)@cf52eee7cfd6: Final verdict of PTC: none TC_rach_load_count-RSL-IPA(251)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(253)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_count-RSL-IPA(251): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rach_load_count-RSL(252): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(253): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rach_load_count finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass'. Mon Jun 24 13:56:45 UTC 2024 ====== BTS_Tests.TC_rach_load_count pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rach_load_count.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=17724399) Waiting for packet dumper to finish... 1 (prev_count=17724399, count=17724898) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rach_load_count pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf'. ------ BTS_Tests.TC_meas_res_speech_tchf ------ Mon Jun 24 13:56:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf started. TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf(257)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf(257)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf-RSL(255)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchf-RSL-IPA(254)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(256)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf-RSL-IPA(254): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf-RSL(255): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(256): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf(257): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass'. Mon Jun 24 13:56:58 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11383058) Waiting for packet dumper to finish... 1 (prev_count=11383058, count=11383557) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch'. ------ BTS_Tests.TC_meas_res_speech_tchf_facch ------ Mon Jun 24 13:57:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_facch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf_facch started. TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_facch(261)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3631 TC_meas_res_speech_tchf_facch-RSL(259)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(260)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchf_facch-RSL-IPA(258)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL-IPA(258): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_facch-RSL(259): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(260): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_facch(261): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf_facch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass'. Mon Jun 24 13:57:12 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_facch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11362025) Waiting for packet dumper to finish... 1 (prev_count=11362025, count=11362524) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_facch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh'. ------ BTS_Tests.TC_meas_res_speech_tchh ------ Mon Jun 24 13:57:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh started. TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh(265)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 265 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh-RSL(263)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh(265)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(264)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh-RSL-IPA(262)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh-RSL-IPA(262): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh-RSL(263): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(264): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh(265): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail'. Mon Jun 24 13:57:19 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2840017) Waiting for packet dumper to finish... 1 (prev_count=2840017, count=2840516) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch'. ------ BTS_Tests.TC_meas_res_speech_tchh_facch ------ Mon Jun 24 13:57:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_facch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_facch started. TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 269 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_facch-RSL(267)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh_facch(269)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(268)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh_facch-RSL-IPA(266)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL-IPA(266): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_facch-RSL(267): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(268): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_facch(269): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_facch finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail'. Mon Jun 24 13:57:25 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_facch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2830352) Waiting for packet dumper to finish... 1 (prev_count=2830352, count=2835527) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_facch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256'. ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 ------ Mon Jun 24 13:57:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_toa256' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_toa256 started. TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 273 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_speech_tchh_toa256-RSL(271)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh_toa256(273)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_speech_tchh_toa256-RSL-IPA(270)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(272)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL-IPA(270): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_toa256-RSL(271): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(272): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_toa256(273): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail'. Mon Jun 24 13:57:32 UTC 2024 ------ BTS_Tests.TC_meas_res_speech_tchh_toa256 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2838921) Waiting for packet dumper to finish... 1 (prev_count=2838921, count=2839420) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf'. ------ BTS_Tests.TC_meas_res_sign_tchf ------ Mon Jun 24 13:57:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchf.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchf' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchf started. TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchf(277)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchf(277)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" TC_meas_res_sign_tchf(277)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 277 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchf-RSL(275)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(276)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_tchf(277)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" TC_meas_res_sign_tchf-RSL-IPA(274)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchf-RSL-IPA(274): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchf-RSL(275): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(276): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchf(277): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchf finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail'. Mon Jun 24 13:57:39 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchf fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2970786) Waiting for packet dumper to finish... 1 (prev_count=2970786, count=2971285) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchf fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh'. ------ BTS_Tests.TC_meas_res_sign_tchh ------ Mon Jun 24 13:57:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchh started. TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh(281)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 281 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh-RSL(279)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(280)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_tchh-RSL-IPA(278)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_tchh(281)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh-RSL-IPA(278): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh-RSL(279): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(280): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh(281): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchh finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail'. Mon Jun 24 13:57:45 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2747377) Waiting for packet dumper to finish... 1 (prev_count=2747377, count=2747876) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4'. ------ BTS_Tests.TC_meas_res_sign_sdcch4 ------ Mon Jun 24 13:57:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch4' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_sign_sdcch4 started. TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(285)@cf52eee7cfd6: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(286)@cf52eee7cfd6: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(287)@cf52eee7cfd6: Final verdict of PTC: pass TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_sign_sdcch4(288)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3720 TC_meas_res_sign_sdcch4-RSL(283)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(284)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_sdcch4-RSL-IPA(282)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL-IPA(282): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4-RSL(283): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(284): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4(285): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4(286): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4(287): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch4(288): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_meas_res_sign_sdcch4 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass'. Mon Jun 24 13:58:23 UTC 2024 ====== BTS_Tests.TC_meas_res_sign_sdcch4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=31914501) Waiting for packet dumper to finish... 1 (prev_count=31914501, count=31915000) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch4 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8'. ------ BTS_Tests.TC_meas_res_sign_sdcch8 ------ Mon Jun 24 13:58:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap" >/data/BTS_Tests.TC_meas_res_sign_sdcch8.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_sdcch8' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_sign_sdcch8 started. TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F020303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: SACCH received: '0F010303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 292 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_sdcch8-RSL(290)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_sdcch8(292)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" TC_meas_res_sign_sdcch8-RSL-IPA(289)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(291)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL-IPA(289): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch8-RSL(290): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(291): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_sdcch8(292): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_sign_sdcch8 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail'. Mon Jun 24 13:58:31 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_sdcch8 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_sdcch8.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3785251) Waiting for packet dumper to finish... 1 (prev_count=3785251, count=3790426) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_sdcch8 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256'. ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 ------ Mon Jun 24 13:58:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap" >/data/BTS_Tests.TC_meas_res_sign_tchh_toa256.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_sign_tchh_toa256' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchh_toa256 started. TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: DCCH received: '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"", new component reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2443 MC@cf52eee7cfd6: Test Component 296 has requested to stop MTC. Terminating current testcase execution. TC_meas_res_sign_tchh_toa256-RSL(294)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256(296)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" IPA-CTRL-CLI-IPA(295)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_sign_tchh_toa256-RSL-IPA(293)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL-IPA(293): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh_toa256-RSL(294): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(295): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_sign_tchh_toa256(296): fail (none -> fail) reason: ""BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }"" MTC@cf52eee7cfd6: Test case TC_meas_res_sign_tchh_toa256 finished. Verdict: fail reason: "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail'. Mon Jun 24 13:58:38 UTC 2024 ------ BTS_Tests.TC_meas_res_sign_tchh_toa256 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_sign_tchh_toa256.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2747376) Waiting for packet dumper to finish... 1 (prev_count=2747376, count=2747875) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_sign_tchh_toa256 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchf_sapi3 ------ Mon Jun 24 13:58:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchf_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf_sapi3 started. TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchf_sapi3(300)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3812 TC_meas_res_speech_tchf_sapi3-RSL(298)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchf_sapi3-RSL-IPA(297)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(299)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL-IPA(297): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_sapi3-RSL(298): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(299): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchf_sapi3(300): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchf_sapi3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass'. Mon Jun 24 13:58:52 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchf_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11355717) Waiting for packet dumper to finish... 1 (prev_count=11355717, count=11356216) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3'. ------ BTS_Tests.TC_meas_res_speech_tchh_sapi3 ------ Mon Jun 24 13:58:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap" >/data/BTS_Tests.TC_meas_res_speech_tchh_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_sapi3 started. TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: setverdict(pass): none -> pass TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Injected SAPI=3 traffic on SACCH TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Warning: Re-starting timer Tmr, which is already active (running or expired). TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_meas_res_speech_tchh_sapi3(304)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3827 TC_meas_res_speech_tchh_sapi3-RSL(302)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(303)@cf52eee7cfd6: Final verdict of PTC: none TC_meas_res_speech_tchh_sapi3-RSL-IPA(301)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL-IPA(301): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_sapi3-RSL(302): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(303): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_meas_res_speech_tchh_sapi3(304): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_meas_res_speech_tchh_sapi3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass'. Mon Jun 24 13:59:05 UTC 2024 ====== BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_meas_res_speech_tchh_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8597476) Waiting for packet dumper to finish... 1 (prev_count=8597476, count=8597975) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch'. ------ BTS_Tests.TC_tx_power_start_ramp_up_bcch ------ Mon Jun 24 13:59:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap" >/data/BTS_Tests.TC_tx_power_start_ramp_up_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_tx_power_start_ramp_up_bcch started. TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Reached full power, wating a bit more until success TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Tx power increased during ramp up: 0 -> 30 TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tx_power_start_ramp_up_bcch(308)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2959 TC_tx_power_start_ramp_up_bcch-RSL(306)@cf52eee7cfd6: Final verdict of PTC: none TC_tx_power_start_ramp_up_bcch-RSL-IPA(305)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(307)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL-IPA(305): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_start_ramp_up_bcch-RSL(306): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(307): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_start_ramp_up_bcch(308): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_tx_power_start_ramp_up_bcch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass'. Mon Jun 24 13:59:17 UTC 2024 ====== BTS_Tests.TC_tx_power_start_ramp_up_bcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_start_ramp_up_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7690072) Waiting for packet dumper to finish... 1 (prev_count=7690072, count=7690571) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_start_ramp_up_bcch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch'. ------ BTS_Tests.TC_tx_power_down_bcch ------ Mon Jun 24 13:59:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_down_bcch.pcap" >/data/BTS_Tests.TC_tx_power_down_bcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_down_bcch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_tx_power_down_bcch started. TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_down_bcch(312)@cf52eee7cfd6: Reached nominal level 30, shutting down OML link TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: IPA: Closed TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Lost IPA connection! (conn_id=2) TC_tx_power_down_bcch-RSL-IPA(309)@cf52eee7cfd6: Final verdict of PTC: none TC_tx_power_down_bcch(312)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tx_power_down_bcch(312)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":2986 TC_tx_power_down_bcch-RSL(310)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(311)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_down_bcch-RSL-IPA(309): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_down_bcch-RSL(310): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(311): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_down_bcch(312): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_tx_power_down_bcch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass'. Mon Jun 24 13:59:32 UTC 2024 ====== BTS_Tests.TC_tx_power_down_bcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_down_bcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6980052) Waiting for packet dumper to finish... 1 (prev_count=6980052, count=6980551) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_down_bcch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change'. ------ BTS_Tests.TC_tx_power_ramp_adm_state_change ------ Mon Jun 24 13:59:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap" >/data/BTS_Tests.TC_tx_power_ramp_adm_state_change.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tx_power_ramp_adm_state_change' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_tx_power_ramp_adm_state_change started. TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Reached nominal level 30, changing ADM state to LOCKED TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: ADM STATE UNLOCKED->LOCKED TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=22 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=22 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=22 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=22 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=14 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=14 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=14 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=14 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=6 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=6 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=6 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=6 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=2 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Tx power decreased during ramp down: 30 -> 0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Didn't receive data_ind while in rf_locked state.", new component reason: "Didn't receive data_ind while in rf_locked state." TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: ADM STATE LOCKED->UNLOCKED TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=0 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=8 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=16 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=24 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Reached full power, wating a bit more until success TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Received rx_level=30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Tx power increased during ramp up: 0 -> 30 TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tx_power_ramp_adm_state_change(316)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Didn't receive data_ind while in rf_locked state." MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3040 TC_tx_power_ramp_adm_state_change-RSL(314)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(315)@cf52eee7cfd6: Final verdict of PTC: none TC_tx_power_ramp_adm_state_change-RSL-IPA(313)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL-IPA(313): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_ramp_adm_state_change-RSL(314): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(315): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tx_power_ramp_adm_state_change(316): pass (none -> pass) reason: "Didn't receive data_ind while in rf_locked state." MTC@cf52eee7cfd6: Test case TC_tx_power_ramp_adm_state_change finished. Verdict: pass reason: Didn't receive data_ind while in rf_locked state. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass'. Mon Jun 24 13:59:58 UTC 2024 ====== BTS_Tests.TC_tx_power_ramp_adm_state_change pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tx_power_ramp_adm_state_change.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18100280) Waiting for packet dumper to finish... 1 (prev_count=18100280, count=18100779) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tx_power_ramp_adm_state_change pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass'. ------ BTS_Tests.TC_rsl_bs_pwr_static_ass ------ Mon Jun 24 14:00:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_ass.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_ass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_bs_pwr_static_ass started. TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_ass(320)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3121 TC_rsl_bs_pwr_static_ass-RSL(318)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_bs_pwr_static_ass-RSL-IPA(317)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(319)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL-IPA(317): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_ass-RSL(318): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(319): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_ass(320): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_bs_pwr_static_ass finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass'. Mon Jun 24 14:00:05 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_ass pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_ass.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2848719) Waiting for packet dumper to finish... 1 (prev_count=2848719, count=2849218) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_ass pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control'. ------ BTS_Tests.TC_rsl_bs_pwr_static_power_control ------ Mon Jun 24 14:00:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap" >/data/BTS_Tests.TC_rsl_bs_pwr_static_power_control.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_bs_pwr_static_power_control started. TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_bs_pwr_static_power_control(324)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3135 TC_rsl_bs_pwr_static_power_control-RSL(322)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(323)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_bs_pwr_static_power_control-RSL-IPA(321)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL-IPA(321): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_power_control-RSL(322): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(323): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_bs_pwr_static_power_control(324): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_bs_pwr_static_power_control finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass'. Mon Jun 24 14:00:12 UTC 2024 ====== BTS_Tests.TC_rsl_bs_pwr_static_power_control pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_bs_pwr_static_power_control.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2871434) Waiting for packet dumper to finish... 1 (prev_count=2871434, count=2871933) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_bs_pwr_static_power_control pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl'. ------ BTS_Tests.TC_rsl_ms_pwr_ctrl ------ Mon Jun 24 14:00:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_ctrl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_ctrl' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_ctrl started. TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_ctrl(328)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_ctrl(328)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_ctrl(328)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Power level := 15does not match the signaled (RSL) power level := 0", new component reason: "Power level := 15does not match the signaled (RSL) power level := 0" TC_rsl_ms_pwr_ctrl(328)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3859 MC@cf52eee7cfd6: Test Component 328 has requested to stop MTC. Terminating current testcase execution. TC_rsl_ms_pwr_ctrl-RSL(326)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl(328)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Power level := 15does not match the signaled (RSL) power level := 0" TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Dynamic test case error: Sending data on the connection of port IPA_RSL_PORT to 326:IPA_PT failed. (Broken pipe) TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: setverdict(error): none -> error IPA-CTRL-CLI-IPA(327)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_ctrl-RSL-IPA(325)@cf52eee7cfd6: Final verdict of PTC: error MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL-IPA(325): error (none -> error) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_ctrl-RSL(326): none (error -> error) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(327): none (error -> error) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_ctrl(328): fail (error -> error) reason: "Power level := 15does not match the signaled (RSL) power level := 0" MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_ctrl finished. Verdict: error MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl error'. Mon Jun 24 14:00:18 UTC 2024 ------ BTS_Tests.TC_rsl_ms_pwr_ctrl error ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_ctrl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2411938) Waiting for packet dumper to finish... 1 (prev_count=2411938, count=2412437) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_ctrl error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active ------ Mon Jun 24 14:00:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_active started. TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active(332)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3600 TC_rsl_ms_pwr_dyn_active-RSL(330)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active-RSL-IPA(329)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(331)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL-IPA(329): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active-RSL(330): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(331): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active(332): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass'. Mon Jun 24 14:00:34 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13906910) Waiting for packet dumper to finish... 1 (prev_count=13906910, count=13907409) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_active2 ------ Mon Jun 24 14:00:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_active2.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_active2 started. TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_active2(336)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3615 TC_rsl_ms_pwr_dyn_active2-RSL(334)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(335)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL-IPA(333): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2-RSL(334): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(335): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_active2(336): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_active2 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass'. Mon Jun 24 14:00:50 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_active2.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13812986) Waiting for packet dumper to finish... 1 (prev_count=13812986, count=13813485) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_up ------ Mon Jun 24 14:00:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_up.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_up started. TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 3, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 1, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 0, actual_ta := 2 } TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Power level in L1 header reduced as expected", component reason not changed TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_up(340)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3555 TC_rsl_ms_pwr_dyn_up-RSL(338)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(339)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_up-RSL-IPA(337)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL-IPA(337): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_up-RSL(338): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(339): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_up(340): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_up finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass'. Mon Jun 24 14:01:15 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_up pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_up.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24345456) Waiting for packet dumper to finish... 1 (prev_count=24345456, count=24345955) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_up pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_down ------ Mon Jun 24 14:01:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_down.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_down started. TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 5, actual_ta := 2 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 15, actual_ta := 0 } TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Power level increased to lowest power value", component reason not changed TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_down(344)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3585 TC_rsl_ms_pwr_dyn_down-RSL(342)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(343)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_down-RSL-IPA(341)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL-IPA(341): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_down-RSL(342): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(343): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_down(344): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_down finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass'. Mon Jun 24 14:01:31 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_down pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_down.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14365678) Waiting for packet dumper to finish... 1 (prev_count=14365678, count=14366177) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_down pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown ------ Mon Jun 24 14:01:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_ass_updown started. TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Power level in L1 decreased/increased as expected", component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_ass_updown(348)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3540 TC_rsl_ms_pwr_dyn_ass_updown-RSL(346)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(347)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL-IPA(345): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown-RSL(346): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(347): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_ass_updown(348): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_ass_updown finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass'. Mon Jun 24 14:01:44 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9632511) Waiting for packet dumper to finish... 1 (prev_count=9632511, count=9633010) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max'. ------ BTS_Tests.TC_rsl_ms_pwr_dyn_max ------ Mon Jun 24 14:01:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap" >/data/BTS_Tests.TC_rsl_ms_pwr_dyn_max.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_max started. TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_ms_pwr_dyn_max(352)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3570 TC_rsl_ms_pwr_dyn_max-RSL(350)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(351)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ms_pwr_dyn_max-RSL-IPA(349)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL-IPA(349): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_max-RSL(350): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(351): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ms_pwr_dyn_max(352): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ms_pwr_dyn_max finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass'. Mon Jun 24 14:01:59 UTC 2024 ====== BTS_Tests.TC_rsl_ms_pwr_dyn_max pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ms_pwr_dyn_max.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13415586) Waiting for packet dumper to finish... 1 (prev_count=13415586, count=13416085) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ms_pwr_dyn_max pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr'. ------ BTS_Tests.TC_rsl_chan_initial_ms_pwr ------ Mon Jun 24 14:02:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ms_pwr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_chan_initial_ms_pwr started. TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_chan_initial_ms_pwr(356)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(356)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(356)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(356)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(356)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(357)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(357)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_rsl_chan_initial_ms_pwr(357)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(357)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(357)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_chan_initial_ms_pwr(358)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(358)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(358)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(358)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(358)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_chan_initial_ms_pwr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_chan_initial_ms_pwr(359)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_chan_initial_ms_pwr(359)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 2 } TC_rsl_chan_initial_ms_pwr(359)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(359)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ms_pwr(359)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_chan_initial_ms_pwr-RSL(354)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_chan_initial_ms_pwr-RSL-IPA(353)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(355)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL-IPA(353): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr-RSL(354): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(355): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(356): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(357): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(358): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ms_pwr(359): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_chan_initial_ms_pwr finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass'. Mon Jun 24 14:02:07 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ms_pwr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_chan_initial_ms_pwr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3468349) Waiting for packet dumper to finish... 1 (prev_count=3468349, count=3468848) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ms_pwr pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta'. ------ BTS_Tests.TC_rsl_chan_initial_ta ------ Mon Jun 24 14:02:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap" >/data/BTS_Tests.TC_rsl_chan_initial_ta.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_chan_initial_ta' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_chan_initial_ta started. TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: as_l1_sacch_l1h(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 16 } TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_chan_initial_ta(363)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":3939 TC_rsl_chan_initial_ta-RSL(361)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(362)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_chan_initial_ta-RSL-IPA(360)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ta-RSL-IPA(360): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ta-RSL(361): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(362): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_chan_initial_ta(363): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_chan_initial_ta finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass'. Mon Jun 24 14:02:13 UTC 2024 ====== BTS_Tests.TC_rsl_chan_initial_ta pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_chan_initial_ta.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2430190) Waiting for packet dumper to finish... 1 (prev_count=2430190, count=2430689) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_chan_initial_ta pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr'. ------ BTS_Tests.TC_rsl_modify_encr ------ Mon Jun 24 14:02:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_modify_encr.pcap" >/data/BTS_Tests.TC_rsl_modify_encr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_modify_encr' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_modify_encr started. TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rsl_modify_encr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rsl_modify_encr(367)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_modify_encr(367)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(367)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_rsl_modify_encr(367)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(367)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(367)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(367)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(367)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_modify_encr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(368)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_modify_encr(368)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rsl_modify_encr(368)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_rsl_modify_encr(368)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(368)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rsl_modify_encr(369)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_modify_encr(369)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rsl_modify_encr(369)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_rsl_modify_encr(369)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(369)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rsl_modify_encr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rsl_modify_encr(370)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_modify_encr(370)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_rsl_modify_encr(370)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rsl_modify_encr(370)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rsl_modify_encr-RSL(365)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_modify_encr-RSL-IPA(364)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(366)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr-RSL-IPA(364): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr-RSL(365): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(366): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr(367): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr(368): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr(369): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_modify_encr(370): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_modify_encr finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass'. Mon Jun 24 14:02:31 UTC 2024 ====== BTS_Tests.TC_rsl_modify_encr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_modify_encr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13265160) Waiting for packet dumper to finish... 1 (prev_count=13265160, count=13265659) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_modify_encr pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind'. ------ BTS_Tests.TC_rsl_rf_resource_ind ------ Mon Jun 24 14:02:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap" >/data/BTS_Tests.TC_rsl_rf_resource_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_rf_resource_ind' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_rf_resource_ind started. TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7811 TC_rsl_rf_resource_ind-RSL(372)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(373)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_rf_resource_ind-RSL-IPA(371)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_rf_resource_ind-RSL-IPA(371): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_rf_resource_ind-RSL(372): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(373): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_rf_resource_ind finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass'. Mon Jun 24 14:02:51 UTC 2024 ====== BTS_Tests.TC_rsl_rf_resource_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_rf_resource_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=14422399) Waiting for packet dumper to finish... 1 (prev_count=14422399, count=14427574) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_rf_resource_ind pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit'. ------ BTS_Tests.TC_conn_fail_crit ------ Mon Jun 24 14:02:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_conn_fail_crit.pcap" >/data/BTS_Tests.TC_conn_fail_crit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_conn_fail_crit' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_conn_fail_crit started. TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_conn_fail_crit(377)@cf52eee7cfd6: setverdict(pass): none -> pass TC_conn_fail_crit(377)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(377)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_conn_fail_crit(377)@cf52eee7cfd6: Final verdict of PTC: pass TC_conn_fail_crit-RSL(375)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(376)@cf52eee7cfd6: Final verdict of PTC: none TC_conn_fail_crit-RSL-IPA(374)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_conn_fail_crit-RSL-IPA(374): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_conn_fail_crit-RSL(375): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(376): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_conn_fail_crit(377): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_conn_fail_crit finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass'. Mon Jun 24 14:03:22 UTC 2024 ====== BTS_Tests.TC_conn_fail_crit pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_conn_fail_crit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24702817) Waiting for packet dumper to finish... 1 (prev_count=24702817, count=24707534) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_conn_fail_crit pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent'. ------ BTS_Tests.TC_paging_imsi_80percent ------ Mon Jun 24 14:03:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_80percent.pcap" >/data/BTS_Tests.TC_paging_imsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_80percent' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_paging_imsi_80percent started. TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: pch_blocks_total=271 pch_blocks_per_sec=13.594771 interval=0.073558 MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: num_paging_sent=271 rcvd_msgs=168 rcvd_ids=271 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4329 TC_paging_imsi_80percent-RSL(379)@cf52eee7cfd6: Final verdict of PTC: none TC_paging_imsi_80percent-RSL-IPA(378)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(380)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_80percent-RSL-IPA(378): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_80percent-RSL(379): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(380): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_paging_imsi_80percent finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass'. Mon Jun 24 14:03:49 UTC 2024 ====== BTS_Tests.TC_paging_imsi_80percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21474209) Waiting for packet dumper to finish... 1 (prev_count=21474209, count=21474708) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_80percent pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent'. ------ BTS_Tests.TC_paging_tmsi_80percent ------ Mon Jun 24 14:03:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_80percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_80percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_80percent' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_paging_tmsi_80percent started. TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: pch_blocks_total=543 pch_blocks_per_sec=27.189542 interval=0.036779 MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: num_paging_sent=543 rcvd_msgs=170 rcvd_ids=543 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4356 TC_paging_tmsi_80percent-RSL(382)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(383)@cf52eee7cfd6: Final verdict of PTC: none TC_paging_tmsi_80percent-RSL-IPA(381)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_tmsi_80percent-RSL-IPA(381): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_tmsi_80percent-RSL(382): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(383): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_paging_tmsi_80percent finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass'. Mon Jun 24 14:04:16 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_80percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_tmsi_80percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21807669) Waiting for packet dumper to finish... 1 (prev_count=21807669, count=21812844) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_80percent pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent'. ------ BTS_Tests.TC_paging_imsi_200percent ------ Mon Jun 24 14:04:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_paging_imsi_200percent started. TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=533 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4385 TC_paging_imsi_200percent-RSL(385)@cf52eee7cfd6: Final verdict of PTC: none TC_paging_imsi_200percent-RSL-IPA(384)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(386)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_200percent-RSL-IPA(384): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_200percent-RSL(385): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(386): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_paging_imsi_200percent finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass'. Mon Jun 24 14:04:55 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33193518) Waiting for packet dumper to finish... 1 (prev_count=33193518, count=33194017) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent'. ------ BTS_Tests.TC_paging_tmsi_200percent ------ Mon Jun 24 14:04:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_tmsi_200percent.pcap" >/data/BTS_Tests.TC_paging_tmsi_200percent.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_tmsi_200percent' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_paging_tmsi_200percent started. TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: pch_blocks_total=1359 pch_blocks_per_sec=67.973856 interval=0.014712 MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: num_paging_sent=1359 rcvd_msgs=220 rcvd_ids=870 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4414 TC_paging_tmsi_200percent-RSL(388)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(389)@cf52eee7cfd6: Final verdict of PTC: none TC_paging_tmsi_200percent-RSL-IPA(387)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_tmsi_200percent-RSL-IPA(387): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_tmsi_200percent-RSL(388): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(389): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_paging_tmsi_200percent finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass'. Mon Jun 24 14:05:28 UTC 2024 ====== BTS_Tests.TC_paging_tmsi_200percent pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_tmsi_200percent.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29795416) Waiting for packet dumper to finish... 1 (prev_count=29795416, count=29795915) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_tmsi_200percent pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error'. ------ BTS_Tests.TC_rsl_protocol_error ------ Mon Jun 24 14:05:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_protocol_error.pcap" >/data/BTS_Tests.TC_rsl_protocol_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_protocol_error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_protocol_error started. TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_protocol_error-RSL(391)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_protocol_error-RSL-IPA(390)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(392)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_protocol_error-RSL-IPA(390): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_protocol_error-RSL(391): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(392): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_protocol_error finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass'. Mon Jun 24 14:05:33 UTC 2024 ====== BTS_Tests.TC_rsl_protocol_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_protocol_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1920871) Waiting for packet dumper to finish... 1 (prev_count=1920871, count=1921370) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_protocol_error pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error'. ------ BTS_Tests.TC_rsl_mand_ie_error ------ Mon Jun 24 14:05:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_mand_ie_error.pcap" >/data/BTS_Tests.TC_rsl_mand_ie_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_mand_ie_error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_mand_ie_error started. TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_mand_ie_error-RSL(394)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(395)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_mand_ie_error-RSL-IPA(393)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_mand_ie_error-RSL-IPA(393): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_mand_ie_error-RSL(394): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(395): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_mand_ie_error finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass'. Mon Jun 24 14:05:39 UTC 2024 ====== BTS_Tests.TC_rsl_mand_ie_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_mand_ie_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1930615) Waiting for packet dumper to finish... 1 (prev_count=1930615, count=1931114) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_mand_ie_error pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error'. ------ BTS_Tests.TC_rsl_ie_content_error ------ Mon Jun 24 14:05:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rsl_ie_content_error.pcap" >/data/BTS_Tests.TC_rsl_ie_content_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rsl_ie_content_error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rsl_ie_content_error started. TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_rsl_ie_content_error-RSL(397)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(398)@cf52eee7cfd6: Final verdict of PTC: none TC_rsl_ie_content_error-RSL-IPA(396)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ie_content_error-RSL-IPA(396): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rsl_ie_content_error-RSL(397): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(398): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rsl_ie_content_error finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass'. Mon Jun 24 14:05:45 UTC 2024 ====== BTS_Tests.TC_rsl_ie_content_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rsl_ie_content_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1932079) Waiting for packet dumper to finish... 1 (prev_count=1932079, count=1932578) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rsl_ie_content_error pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default'. ------ BTS_Tests.TC_si_sched_default ------ Mon Jun 24 14:05:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_default.pcap" >/data/BTS_Tests.TC_si_sched_default.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_default' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_default started. TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(400)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(400)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(400)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL(400)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(400)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_default-RSL(400)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(400)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_default-RSL(400)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(400)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_default-RSL(400)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_default-RSL(400)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_default-RSL(400)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(400)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_default-RSL(400)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_default-RSL(400)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_default-RSL(400)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: "TC_si_sched_default": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=4 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_default": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4941 TC_si_sched_default-RSL(400)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(401)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_default-RSL-IPA(399)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_default-RSL-IPA(399): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_default-RSL(400): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(401): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_default finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass'. Mon Jun 24 14:05:58 UTC 2024 ====== BTS_Tests.TC_si_sched_default pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_default.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9038447) Waiting for packet dumper to finish... 1 (prev_count=9038447, count=9038946) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_default pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1'. ------ BTS_Tests.TC_si_sched_1 ------ Mon Jun 24 14:06:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_1.pcap" >/data/BTS_Tests.TC_si_sched_1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_1' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_1 started. TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(403)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(403)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(403)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL(403)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(403)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_1-RSL(403)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(403)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_1-RSL(403)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_1-RSL(403)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_1-RSL(403)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_1-RSL(403)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_1-RSL(403)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(403)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_1-RSL(403)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_1-RSL(403)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_1-RSL(403)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_1 (1): '5506198FB38000000000000000000000000000E504002B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: "TC_si_sched_1": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=4 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_1": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_1 (25) }, payload := { si1 := { cell_chan_desc := '8FB38000000000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4949 TC_si_sched_1-RSL(403)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_1-RSL-IPA(402)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(404)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_1-RSL-IPA(402): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_1-RSL(403): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(404): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_1 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass'. Mon Jun 24 14:06:12 UTC 2024 ====== BTS_Tests.TC_si_sched_1 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9033734) Waiting for packet dumper to finish... 1 (prev_count=9033734, count=9034233) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_1 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis'. ------ BTS_Tests.TC_si_sched_2bis ------ Mon Jun 24 14:06:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2bis' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_2bis started. TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=4 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2bis": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4957 TC_si_sched_2bis-RSL(406)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(407)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_2bis-RSL-IPA(405)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2bis-RSL-IPA(405): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2bis-RSL(406): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(407): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_2bis finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass'. Mon Jun 24 14:06:25 UTC 2024 ====== BTS_Tests.TC_si_sched_2bis pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9055895) Waiting for packet dumper to finish... 1 (prev_count=9055895, count=9056394) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2bis pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter'. ------ BTS_Tests.TC_si_sched_2ter ------ Mon Jun 24 14:06:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter.pcap" >/data/BTS_Tests.TC_si_sched_2ter.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_2ter started. TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=4 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4965 TC_si_sched_2ter-RSL(409)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(410)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_2ter-RSL-IPA(408)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2ter-RSL-IPA(408): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2ter-RSL(409): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(410): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_2ter finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass'. Mon Jun 24 14:06:39 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2ter.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9095135) Waiting for packet dumper to finish... 1 (prev_count=9095135, count=9095634) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis'. ------ BTS_Tests.TC_si_sched_2ter_2bis ------ Mon Jun 24 14:06:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap" >/data/BTS_Tests.TC_si_sched_2ter_2bis.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2ter_2bis' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_2ter_2bis started. TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=4 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_2ter_2bis": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4975 TC_si_sched_2ter_2bis-RSL(412)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(413)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_2ter_2bis-RSL-IPA(411)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2ter_2bis-RSL-IPA(411): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2ter_2bis-RSL(412): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(413): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_2ter_2bis finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass'. Mon Jun 24 14:06:53 UTC 2024 ====== BTS_Tests.TC_si_sched_2ter_2bis pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2ter_2bis.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9119852) Waiting for packet dumper to finish... 1 (prev_count=9119852, count=9120351) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2ter_2bis pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater'. ------ BTS_Tests.TC_si_sched_2quater ------ Mon Jun 24 14:06:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_2quater.pcap" >/data/BTS_Tests.TC_si_sched_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_2quater' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_2quater started. TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 665, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1073, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1481, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1889, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2297, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2705, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3113, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3521, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=0 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=1 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=2 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=3 has #of SI=9 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=4 has #of SI=9 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=5 has #of SI=1 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=6 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_2quater": TC=7 has #of SI=8 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2654, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '0'B, ind := omit }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := '0'B, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '0'B, ind := omit }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4983 TC_si_sched_2quater-RSL(415)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(416)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_2quater-RSL-IPA(414)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2quater-RSL-IPA(414): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_2quater-RSL(415): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(416): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_2quater finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass'. Mon Jun 24 14:07:14 UTC 2024 ====== BTS_Tests.TC_si_sched_2quater pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16158345) Waiting for packet dumper to finish... 1 (prev_count=16158345, count=16158844) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_2quater pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13'. ------ BTS_Tests.TC_si_sched_13 ------ Mon Jun 24 14:07:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13.pcap" >/data/BTS_Tests.TC_si_sched_13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_13 started. TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(418)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_13-RSL(418)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_13-RSL(418)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(418)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_13-RSL(418)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13-RSL(418)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_13-RSL(418)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(418)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_si_sched_13-RSL(418)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13-RSL(418)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13-RSL(418)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: "TC_si_sched_13": TC=0 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=1 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=2 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=3 has #of SI=5 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=4 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=5 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=6 has #of SI=4 MTC@cf52eee7cfd6: "TC_si_sched_13": TC=7 has #of SI=4 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1430, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: not-bccch-extended MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4993 TC_si_sched_13-RSL(418)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_13-RSL-IPA(417)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(419)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_13-RSL-IPA(417): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_13-RSL(418): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(419): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_13 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass'. Mon Jun 24 14:07:28 UTC 2024 ====== BTS_Tests.TC_si_sched_13 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9084275) Waiting for packet dumper to finish... 1 (prev_count=9084275, count=9084774) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater'. ------ BTS_Tests.TC_si_sched_13_2bis_2ter_2quater ------ Mon Jun 24 14:07:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap" >/data/BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_si_sched_13_2bis_2ter_2quater started. TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2bis (10): '550602BFE809B3FF00000000000000000000007900002B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2ter (11): '010603BF66B0AA0A00000002000000000000002B2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2quater (41): '050607B10004864982EDDB8D555867EE3C95540B2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_13 (40): '0106009000185A6FC9E08410AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B'O ("+++") MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1430, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '000000000000000000000000'O MTC@cf52eee7cfd6: Protocol discriminator is not RR (!= '0110'B): 0 MTC@cf52eee7cfd6: Ignoring non-RR or invalid SI { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2654, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0000000000000000000000000000000000000000000000'O } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: 'AB2B2B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=6: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=7: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=0: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: SI received at TC=1: { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: SI received at TC=2: { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: SI received at TC=3: { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: SI received at TC=4: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } MTC@cf52eee7cfd6: SI received at TC=5: { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=0 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=1 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=2 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=3 has #of SI=9 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=4 has #of SI=7 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=5 has #of SI=9 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=6 has #of SI=8 MTC@cf52eee7cfd6: "TC_si_sched_13_2bis_2ter_2quater": TC=7 has #of SI=8 MTC@cf52eee7cfd6: si_per_tc={ { { frame_number := 410, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 818, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1226, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1634, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2042, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2450, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2858, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3266, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 461, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 869, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1277, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 1685, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2093, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2501, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 2909, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } }, { frame_number := 3317, si := { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } } }, { { frame_number := 512, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 920, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1328, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1736, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2144, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2552, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2960, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3368, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 155, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 563, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 971, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1379, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1787, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2195, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2603, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3011, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3419, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } }, { { frame_number := 206, si := { header := { l2_plen := { l2_plen := 1, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2quater (7) }, payload := { si2quater := { rest_octets := { ba_ind := '1'B, ba_3g_ind := '0'B, mp_change_mark := '1'B, si2quater_index := 8, si2quater_count := 8, meas_params_desc := { presence := '0'B, desc := omit }, gprs := { rt_diff_desc := { presence := '0'B, desc := omit }, bsic_desc := { presence := '0'B, desc := omit }, rep_prio_desc := { presence := '0'B, desc := omit }, meas_params_desc := { presence := '0'B, desc := omit } }, nc_meas_params := { presence := '0'B, params := omit }, ext_info := { presence := '0'B, info := omit }, utran_neigh_desc := { presence := '0'B, desc := omit }, utran_meas_params_desc := { presence := '0'B, desc := omit }, utran_gprs_meas_params_desc := { presence := '0'B, desc := omit }, rel_additions := { rel5_presence := '1'B, rel5 := { umts_add_meas_params_desc := { presence := '0'B, desc := omit }, umts_add_meas_params_desc2 := { presence := '0'B, desc := omit }, rel6_presence := '1'B, rel6 := { umts_ccn_active := '0'B, rel7_presence := '1'B, rel7 := { rep700 := { presence := '0'B, val := omit }, rep810 := { presence := '0'B, val := omit }, rel8_presence := '1'B, rel8 := { prio_eutran_params_desc := { presence := '1'B, desc := { sc_prio_params_desc := { presence := '0'B, desc := omit }, utran_prio_params_desc := { presence := '0'B, desc := omit }, eutran_params_desc := { presence := '1'B, desc := { ccn_active := '0'B, e_start := '0'B, e_stop := '1'B, meas_params_desc := { presence := '0'B, desc := omit }, gprs_meas_params_desc := { presence := '0'B, desc := omit }, repeated_neigh_cells := { { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 1499, meas_bw_presence := '1'B, meas_bw := 3 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 6, thresh_high := 6, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 }, { item_ind := '1'B, cell_desc_list := { { item_ind := '1'B, e_arfcn := 3325, meas_bw_presence := '1'B, meas_bw := 4 } }, cell_desc_list_term := '0'B, prio_presence := '1'B, prio := 7, thresh_high := 4, thresh_low_presence := '1'B, thresh_low := 10, qrxlevmin_presence := '1'B, qrxlevmin := 10 } }, repeated_neigh_cells_term := '0'B, repeated_not_allowed_cells := omit, repeated_not_allowed_cells_term := '0'B, pcid2ta_map_list := omit, pcid2ta_map_list_term := '0'B } } } }, utran_csg_desc := { presence := '0'B, desc := omit }, eutran_csg_desc := { presence := '0'B, desc := omit } } } } } } } } } } }, { frame_number := 614, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 1022, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 1838, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 2246, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } }, { frame_number := 3062, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_13 (0) }, payload := { si13 := { rest_octets := { presence := '1'B, bcch_change_mark := 1, si_change_field := '0000'B, presence2 := '0'B, si13_change_mark := omit, gprs_ma := omit, zero := '0'B, rac := 0, spgc_ccch_sup := '0'B, priority_access_thr := '110'B, network_control_order := '00'B, gprs_cell_opts := { nmo := '01'B, t3168 := '011'B, t3192 := '010'B, drx_timer_max := '011'B, access_burst_type := '0'B, control_ack_type := '1'B, bs_cv_max := 15, pan_presence := '1'B, pan_dec := 1, pan_inc := 1, pan_max := '111'B, ext_info_presence := '0'B, ext_info_length := omit, ext_info := omit }, gprs_pwr_ctrl_params := { alpha := 0, t_avg_w := '10000'B, t_avg_t := '10000'B, pc_meas_chan := '0'B, n_avg_i := '1000'B } } } } } }, { frame_number := 3470, si := { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2ter (3) }, payload := { si2ter := { extd_bcch_freq_list := 'BF66B0AA0A0000000200000000000000'O, rest_octets := '2B2B2B2B'O ("++++") } } } } }, { { frame_number := 257, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 665, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1073, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1481, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 1889, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2297, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 2705, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3113, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } }, { frame_number := 3521, si := { header := { l2_plen := { l2_plen := 21, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2bis (2) }, payload := { si2bis := { extd_bcch_freq_list := 'BFE809B3FF0000000000000000000000'O, rach_control := { max_retrans := RACH_MAX_RETRANS_2 (1), tx_integer := '1110'B, cell_barr_access := false, re_not_allowed := true, acc := '0000000000000000'B }, rest_octets := '2B'O ("+") } } } } }, { { frame_number := 308, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 716, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1124, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1532, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 1940, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2348, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 2756, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } }, { frame_number := 3164, si := { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } } }, { { frame_number := 359, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 767, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1175, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1583, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 1991, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2399, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 2807, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } }, { frame_number := 3215, si := { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } } } } MTC@cf52eee7cfd6: not-bccch-extended MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":5009 TC_si_sched_13_2bis_2ter_2quater-RSL(421)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(422)@cf52eee7cfd6: Final verdict of PTC: none TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL-IPA(420): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_si_sched_13_2bis_2ter_2quater-RSL(421): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(422): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_si_sched_13_2bis_2ter_2quater finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass'. Mon Jun 24 14:07:49 UTC 2024 ====== BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16155615) Waiting for packet dumper to finish... 1 (prev_count=16155615, count=16156114) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active'. ------ BTS_Tests.TC_ipa_dlcx_not_active ------ Mon Jun 24 14:07:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_dlcx_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_dlcx_not_active started. TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_dlcx_not_active(426)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_dlcx_not_active(426)@cf52eee7cfd6: Final verdict of PTC: pass TC_ipa_dlcx_not_active-RSL(424)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_dlcx_not_active-RSL-IPA(423)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(425)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_dlcx_not_active-RSL-IPA(423): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_dlcx_not_active-RSL(424): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(425): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_dlcx_not_active(426): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_ipa_dlcx_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass'. Mon Jun 24 14:07:55 UTC 2024 ====== BTS_Tests.TC_ipa_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935083) Waiting for packet dumper to finish... 1 (prev_count=1935083, count=1935582) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active'. ------ BTS_Tests.TC_ipa_crcx_twice_not_active ------ Mon Jun 24 14:07:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_twice_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_twice_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_crcx_twice_not_active started. TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_twice_not_active(430)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_twice_not_active(430)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_twice_not_active(430)@cf52eee7cfd6: Final verdict of PTC: pass TC_ipa_crcx_twice_not_active-RSL(428)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_twice_not_active-RSL-IPA(427)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(429)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL-IPA(427): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_twice_not_active-RSL(428): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(429): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_twice_not_active(430): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_ipa_crcx_twice_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass'. Mon Jun 24 14:08:01 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_twice_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_twice_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934803) Waiting for packet dumper to finish... 1 (prev_count=1934803, count=1940415) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_twice_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active ------ Mon Jun 24 14:08:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_crcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_dlcx_not_active(434)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_dlcx_not_active(434)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(434)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_dlcx_not_active(434)@cf52eee7cfd6: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(433)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL-IPA(431): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active-RSL(432): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(433): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_dlcx_not_active(434): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_ipa_crcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass'. Mon Jun 24 14:08:06 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1938029) Waiting for packet dumper to finish... 1 (prev_count=1938029, count=1943204) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active'. ------ BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active ------ Mon Jun 24 14:08:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active started. TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438)@cf52eee7cfd6: Final verdict of PTC: pass TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(437)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL-IPA(435): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active-RSL(436): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(437): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_mdcx_mdcx_dlcx_not_active(438): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_ipa_crcx_mdcx_mdcx_dlcx_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass'. Mon Jun 24 14:08:12 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1958275) Waiting for packet dumper to finish... 1 (prev_count=1958275, count=1958774) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active'. ------ BTS_Tests.TC_ipa_crcx_sdcch_not_active ------ Mon Jun 24 14:08:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap" >/data/BTS_Tests.TC_ipa_crcx_sdcch_not_active.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_crcx_sdcch_not_active started. TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_sdcch_not_active(442)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(442)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_sdcch_not_active(443)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_sdcch_not_active(443)@cf52eee7cfd6: Final verdict of PTC: pass TC_ipa_crcx_sdcch_not_active-RSL-IPA(439)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_sdcch_not_active-RSL(440)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(441)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL-IPA(439): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_sdcch_not_active-RSL(440): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(441): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(442): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_sdcch_not_active(443): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_ipa_crcx_sdcch_not_active finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass'. Mon Jun 24 14:08:18 UTC 2024 ====== BTS_Tests.TC_ipa_crcx_sdcch_not_active pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_sdcch_not_active.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1940284) Waiting for packet dumper to finish... 1 (prev_count=1940284, count=1940783) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_sdcch_not_active pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr'. ------ BTS_Tests.TC_ipa_crcx_ack_addr ------ Mon Jun 24 14:08:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap" >/data/BTS_Tests.TC_ipa_crcx_ack_addr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ipa_crcx_ack_addr' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ipa_crcx_ack_addr started. TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ipa_crcx_ack_addr(447)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(447)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(447)@cf52eee7cfd6: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ipa_crcx_ack_addr(448)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ipa_crcx_ack_addr(448)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"", new component reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr(448)@cf52eee7cfd6: Final verdict of PTC: fail reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" TC_ipa_crcx_ack_addr-RSL-IPA(444)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(446)@cf52eee7cfd6: Final verdict of PTC: none TC_ipa_crcx_ack_addr-RSL(445)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL-IPA(444): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_ack_addr-RSL(445): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(446): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_ack_addr(447): fail (none -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@cf52eee7cfd6: Local verdict of PTC TC_ipa_crcx_ack_addr(448): fail (fail -> fail) reason: "CRCX ACK indicates nonsense addr "0.0.0.0"" MTC@cf52eee7cfd6: Test case TC_ipa_crcx_ack_addr finished. Verdict: fail reason: CRCX ACK indicates nonsense addr "0.0.0.0" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail'. Mon Jun 24 14:08:23 UTC 2024 ------ BTS_Tests.TC_ipa_crcx_ack_addr fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ipa_crcx_ack_addr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1949711) Waiting for packet dumper to finish... 1 (prev_count=1949711, count=1950210) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ipa_crcx_ack_addr fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps'. ------ BTS_Tests.TC_paging_imsi_200percent_with_ps ------ Mon Jun 24 14:08:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap" >/data/BTS_Tests.TC_paging_imsi_200percent_with_ps.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_paging_imsi_200percent_with_ps' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_paging_imsi_200percent_with_ps started. TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: pch_blocks_total=679 pch_blocks_per_sec=33.986928 interval=0.029423 MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: Rx LOAD_IND MTC@cf52eee7cfd6: num_paging_sent=679 rcvd_msgs=268 rcvd_ids=533 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":4445 TC_paging_imsi_200percent_with_ps-RSL(450)@cf52eee7cfd6: Final verdict of PTC: none TC_paging_imsi_200percent_with_ps-RSL-IPA(449)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(451)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL-IPA(449): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_paging_imsi_200percent_with_ps-RSL(450): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(451): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_paging_imsi_200percent_with_ps finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass'. Mon Jun 24 14:09:02 UTC 2024 ====== BTS_Tests.TC_paging_imsi_200percent_with_ps pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_paging_imsi_200percent_with_ps.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=33068235) Waiting for packet dumper to finish... 1 (prev_count=33068235, count=33068734) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_paging_imsi_200percent_with_ps pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req'. ------ BTS_Tests.TC_pcu_act_req ------ Mon Jun 24 14:09:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req.pcap" >/data/BTS_Tests.TC_pcu_act_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_act_req started. TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_act_req-RSL(453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_act_req-RSL(453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_act_req-RSL(453)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(454)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_act_req-RSL-IPA(452)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req-RSL-IPA(452): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req-RSL(453): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(454): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_act_req finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass'. Mon Jun 24 14:09:11 UTC 2024 ====== BTS_Tests.TC_pcu_act_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3289168) Waiting for packet dumper to finish... 1 (prev_count=3289168, count=3294780) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts'. ------ BTS_Tests.TC_pcu_act_req_wrong_ts ------ Mon Jun 24 14:09:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_ts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_ts started. TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_act_req_wrong_ts-RSL(456)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(457)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_act_req_wrong_ts-RSL-IPA(455)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL-IPA(455): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_ts-RSL(456): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(457): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_ts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass'. Mon Jun 24 14:09:22 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4643211) Waiting for packet dumper to finish... 1 (prev_count=4643211, count=4643710) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts'. ------ BTS_Tests.TC_pcu_act_req_wrong_bts ------ Mon Jun 24 14:09:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_bts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_bts started. TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_act_req_wrong_bts-RSL(459)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(460)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_act_req_wrong_bts-RSL-IPA(458)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL-IPA(458): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_bts-RSL(459): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(460): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_bts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass'. Mon Jun 24 14:09:34 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4628821) Waiting for packet dumper to finish... 1 (prev_count=4628821, count=4629320) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx'. ------ BTS_Tests.TC_pcu_act_req_wrong_trx ------ Mon Jun 24 14:09:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_act_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_act_req_wrong_trx' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_trx started. TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_act_req_wrong_trx-RSL(462)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(463)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_act_req_wrong_trx-RSL-IPA(461)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL-IPA(461): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_act_req_wrong_trx-RSL(462): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(463): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_act_req_wrong_trx finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass'. Mon Jun 24 14:09:46 UTC 2024 ====== BTS_Tests.TC_pcu_act_req_wrong_trx pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_act_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4644620) Waiting for packet dumper to finish... 1 (prev_count=4644620, count=4649795) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_act_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req'. ------ BTS_Tests.TC_pcu_deact_req ------ Mon Jun 24 14:09:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req.pcap" >/data/BTS_Tests.TC_pcu_deact_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_deact_req started. TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_deact_req-RSL(465)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_deact_req-RSL-IPA(464)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(466)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_deact_req-RSL-IPA(464): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_deact_req-RSL(465): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(466): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_deact_req finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass'. Mon Jun 24 14:09:59 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_deact_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5557249) Waiting for packet dumper to finish... 1 (prev_count=5557249, count=5557748) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts'. ------ BTS_Tests.TC_pcu_deact_req_wrong_ts ------ Mon Jun 24 14:10:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_deact_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_deact_req_wrong_ts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_deact_req_wrong_ts started. TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_deact_req_wrong_ts-RSL(468)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(469)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_deact_req_wrong_ts-RSL-IPA(467)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL-IPA(467): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_deact_req_wrong_ts-RSL(468): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(469): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_deact_req_wrong_ts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass'. Mon Jun 24 14:10:09 UTC 2024 ====== BTS_Tests.TC_pcu_deact_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_deact_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3734090) Waiting for packet dumper to finish... 1 (prev_count=3734090, count=3734589) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_deact_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1'. ------ BTS_Tests.TC_pcu_ver_si1 ------ Mon Jun 24 14:10:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si1.pcap" >/data/BTS_Tests.TC_pcu_ver_si1.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si1' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_ver_si1 started. TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_1 (1): '550111132A252B27CC29AA11BB33CC'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_ver_si1-RSL(471)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_ver_si1-RSL-IPA(470)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(472)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si1-RSL-IPA(470): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si1-RSL(471): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(472): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_ver_si1 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass'. Mon Jun 24 14:10:14 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si1 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si1.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1949761) Waiting for packet dumper to finish... 1 (prev_count=1949761, count=1950260) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si1 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3'. ------ BTS_Tests.TC_pcu_ver_si3 ------ Mon Jun 24 14:10:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si3.pcap" >/data/BTS_Tests.TC_pcu_ver_si3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_ver_si3 started. TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49012223242526272929AABBCC'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_ver_si3-RSL(474)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(475)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_ver_si3-RSL-IPA(473)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si3-RSL-IPA(473): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si3-RSL(474): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(475): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_ver_si3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass'. Mon Jun 24 14:10:20 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1935605) Waiting for packet dumper to finish... 1 (prev_count=1935605, count=1936104) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13'. ------ BTS_Tests.TC_pcu_ver_si13 ------ Mon Jun 24 14:10:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ver_si13.pcap" >/data/BTS_Tests.TC_pcu_ver_si13.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ver_si13' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_ver_si13 started. TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_13 (40): '01010203040506070909'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_ver_si13-RSL(477)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_ver_si13-RSL-IPA(476)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(478)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si13-RSL-IPA(476): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ver_si13-RSL(477): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(478): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_ver_si13 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass'. Mon Jun 24 14:10:25 UTC 2024 ====== BTS_Tests.TC_pcu_ver_si13 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ver_si13.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1934910) Waiting for packet dumper to finish... 1 (prev_count=1934910, count=1935409) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ver_si13 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch'. ------ BTS_Tests.TC_pcu_data_req_pdtch ------ Mon Jun 24 14:10:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pdtch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pdtch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_pdtch started. TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pdtch-RSL(480)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(481)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_pdtch-RSL-IPA(479)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_pdtch-RSL-IPA(479): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_pdtch-RSL(480): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(481): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_pdtch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass'. Mon Jun 24 14:10:34 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pdtch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_pdtch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4697600) Waiting for packet dumper to finish... 1 (prev_count=4697600, count=4698099) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pdtch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch'. ------ BTS_Tests.TC_pcu_data_req_ptcch ------ Mon Jun 24 14:10:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap" >/data/BTS_Tests.TC_pcu_data_req_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ptcch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_ptcch started. TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_ptcch-RSL(483)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(484)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_ptcch-RSL-IPA(482)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_ptcch-RSL-IPA(482): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_ptcch-RSL(483): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(484): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_ptcch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass'. Mon Jun 24 14:10:44 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ptcch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5192180) Waiting for packet dumper to finish... 1 (prev_count=5192180, count=5192807) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ptcch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts'. ------ BTS_Tests.TC_pcu_data_req_wrong_bts ------ Mon Jun 24 14:10:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_bts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_bts started. TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_bts-RSL(486)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_wrong_bts-RSL-IPA(485)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(487)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL-IPA(485): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_bts-RSL(486): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(487): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_bts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass'. Mon Jun 24 14:10:57 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8402369) Waiting for packet dumper to finish... 1 (prev_count=8402369, count=8402868) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_bts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx'. ------ BTS_Tests.TC_pcu_data_req_wrong_trx ------ Mon Jun 24 14:11:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_trx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_trx' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_trx started. TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_trx-RSL(489)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_wrong_trx-RSL-IPA(488)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(490)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL-IPA(488): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_trx-RSL(489): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(490): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_trx finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass'. Mon Jun 24 14:11:11 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_trx pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_trx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8355170) Waiting for packet dumper to finish... 1 (prev_count=8355170, count=8360782) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_trx pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts'. ------ BTS_Tests.TC_pcu_data_req_wrong_ts ------ Mon Jun 24 14:11:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap" >/data/BTS_Tests.TC_pcu_data_req_wrong_ts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_wrong_ts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_ts started. TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_wrong_ts-RSL(492)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(493)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_wrong_ts-RSL-IPA(491)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL-IPA(491): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_wrong_ts-RSL(492): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(493): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_wrong_ts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass'. Mon Jun 24 14:11:24 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_wrong_ts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_wrong_ts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8304837) Waiting for packet dumper to finish... 1 (prev_count=8304837, count=8305336) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_wrong_ts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive'. ------ BTS_Tests.TC_pcu_data_req_ts_inactive ------ Mon Jun 24 14:11:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap" >/data/BTS_Tests.TC_pcu_data_req_ts_inactive.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_ts_inactive' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_ts_inactive started. TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_data_req_ts_inactive-RSL(495)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(496)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_ts_inactive-RSL-IPA(494)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL-IPA(494): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_ts_inactive-RSL(495): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(496): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_ts_inactive finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass'. Mon Jun 24 14:11:35 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_ts_inactive pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_ts_inactive.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5261147) Waiting for packet dumper to finish... 1 (prev_count=5261147, count=5261646) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_ts_inactive pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch'. ------ BTS_Tests.TC_pcu_ptcch ------ Mon Jun 24 14:11:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ptcch.pcap" >/data/BTS_Tests.TC_pcu_ptcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ptcch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_ptcch started. TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Sending an Access Burst towards the L1CTL interface MTC@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL_RACH_CONF", new component reason: "Timeout waiting for L1CTL_RACH_CONF" TC_pcu_ptcch-RSL(498)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(499)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_ptcch-RSL-IPA(497)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: fail reason: "Timeout waiting for L1CTL_RACH_CONF" MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ptcch-RSL-IPA(497): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ptcch-RSL(498): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(499): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_pcu_ptcch finished. Verdict: fail reason: Timeout waiting for L1CTL_RACH_CONF MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail'. Mon Jun 24 14:11:46 UTC 2024 ------ BTS_Tests.TC_pcu_ptcch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ptcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6124810) Waiting for packet dumper to finish... 1 (prev_count=6124810, count=6130113) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ptcch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch'. ------ BTS_Tests.TC_pcu_data_req_agch ------ Mon Jun 24 14:11:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_agch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_agch started. TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_agch-RSL(501)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(502)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_agch-RSL-IPA(500)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_agch-RSL-IPA(500): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_agch-RSL(501): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(502): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_agch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass'. Mon Jun 24 14:11:54 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_agch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4716082) Waiting for packet dumper to finish... 1 (prev_count=4716082, count=4716581) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_agch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch'. ------ BTS_Tests.TC_pcu_data_req_pch ------ Mon Jun 24 14:11:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_pch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_pch started. TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_data_req_pch-RSL(504)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(505)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_pch-RSL-IPA(503)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_pch-RSL-IPA(503): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_pch-RSL(504): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(505): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_pch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass'. Mon Jun 24 14:12:03 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_pch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4741518) Waiting for packet dumper to finish... 1 (prev_count=4741518, count=4742017) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_pch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_pch ------ Mon Jun 24 14:12:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_pch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_imm_ass_pch started. TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: IMM.ASS was sent on PCH MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_pch-RSL(507)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(508)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_imm_ass_pch-RSL-IPA(506)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL-IPA(506): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_imm_ass_pch-RSL(507): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(508): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_imm_ass_pch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass'. Mon Jun 24 14:12:09 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_pch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_pch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2163473) Waiting for packet dumper to finish... 1 (prev_count=2163473, count=2163972) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_pch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch'. ------ BTS_Tests.TC_pcu_data_req_imm_ass_agch ------ Mon Jun 24 14:12:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap" >/data/BTS_Tests.TC_pcu_data_req_imm_ass_agch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_req_imm_ass_agch started. TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: IMM.ASS was sent on AGCH MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_data_req_imm_ass_agch-RSL(510)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(511)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_req_imm_ass_agch-RSL-IPA(509)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL-IPA(509): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_req_imm_ass_agch-RSL(510): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(511): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_data_req_imm_ass_agch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass'. Mon Jun 24 14:12:15 UTC 2024 ====== BTS_Tests.TC_pcu_data_req_imm_ass_agch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_req_imm_ass_agch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1967404) Waiting for packet dumper to finish... 1 (prev_count=1967404, count=1967903) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_req_imm_ass_agch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content'. ------ BTS_Tests.TC_pcu_rach_content ------ Mon Jun 24 14:12:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rach_content.pcap" >/data/BTS_Tests.TC_pcu_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rach_content' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_rach_content started. TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_rach_content-RSL(513)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(514)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_rach_content-RSL-IPA(512)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rach_content-RSL-IPA(512): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rach_content-RSL(513): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(514): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_rach_content finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass'. Mon Jun 24 14:12:29 UTC 2024 ====== BTS_Tests.TC_pcu_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11151551) Waiting for packet dumper to finish... 1 (prev_count=11151551, count=11152050) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rach_content pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content'. ------ BTS_Tests.TC_pcu_ext_rach_content ------ Mon Jun 24 14:12:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_ext_rach_content.pcap" >/data/BTS_Tests.TC_pcu_ext_rach_content.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_ext_rach_content' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_ext_rach_content started. TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_ext_rach_content-RSL(516)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(517)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_ext_rach_content-RSL-IPA(515)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ext_rach_content-RSL-IPA(515): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_ext_rach_content-RSL(516): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(517): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_ext_rach_content finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass'. Mon Jun 24 14:12:43 UTC 2024 ====== BTS_Tests.TC_pcu_ext_rach_content pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_ext_rach_content.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11143148) Waiting for packet dumper to finish... 1 (prev_count=11143148, count=11143647) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_ext_rach_content pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb'. ------ BTS_Tests.TC_pcu_data_ind_lqual_cb ------ Mon Jun 24 14:12:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap" >/data/BTS_Tests.TC_pcu_data_ind_lqual_cb.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_data_ind_lqual_cb' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_data_ind_lqual_cb started. TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Testing C/I=-256 cB MTC@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"", new component reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":5991 TC_pcu_data_ind_lqual_cb-RSL(519)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_data_ind_lqual_cb-RSL-IPA(518)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(520)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: fail reason: ""BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind"" MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL-IPA(518): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_data_ind_lqual_cb-RSL(519): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(520): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_pcu_data_ind_lqual_cb finished. Verdict: fail reason: "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail'. Mon Jun 24 14:12:53 UTC 2024 ------ BTS_Tests.TC_pcu_data_ind_lqual_cb fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_data_ind_lqual_cb.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5379346) Waiting for packet dumper to finish... 1 (prev_count=5379346, count=5379845) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_data_ind_lqual_cb fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl'. ------ BTS_Tests.TC_pcu_paging_from_rsl ------ Mon Jun 24 14:12:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap" >/data/BTS_Tests.TC_pcu_paging_from_rsl.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_paging_from_rsl' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_paging_from_rsl started. TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(523)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL-IPA(521)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_paging_from_rsl-RSL(522)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_paging_from_rsl-RSL-IPA(521): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_paging_from_rsl-RSL(522): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(523): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_paging_from_rsl finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass'. Mon Jun 24 14:12:59 UTC 2024 ====== BTS_Tests.TC_pcu_paging_from_rsl pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_paging_from_rsl.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2150806) Waiting for packet dumper to finish... 1 (prev_count=2150806, count=2151393) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_paging_from_rsl pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind'. ------ BTS_Tests.TC_pcu_time_ind ------ Mon Jun 24 14:13:02 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_time_ind.pcap" >/data/BTS_Tests.TC_pcu_time_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_time_ind' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_time_ind started. TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: 1061 fn expired with 246 PCU_TIME.ind MTC@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)", new component reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)" TC_pcu_time_ind-RSL(525)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(526)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_time_ind-RSL-IPA(524)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: fail reason: "Number of TDMA Frames (1061) not matching (1063 .. 1103)" MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_time_ind-RSL-IPA(524): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_time_ind-RSL(525): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(526): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_pcu_time_ind finished. Verdict: fail reason: Number of TDMA Frames (1061) not matching (1063 .. 1103) MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail'. Mon Jun 24 14:13:12 UTC 2024 ------ BTS_Tests.TC_pcu_time_ind fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_time_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5720819) Waiting for packet dumper to finish... 1 (prev_count=5720819, count=5721318) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_time_ind fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req'. ------ BTS_Tests.TC_pcu_rts_req ------ Mon Jun 24 14:13:16 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rts_req.pcap" >/data/BTS_Tests.TC_pcu_rts_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rts_req' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_rts_req started. TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: 1062 fn expired with num_rts_pdtch=246, num_rts_ptcch=10 MTC@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Number of TDMA Frames (1062) not matching (1063 .. 1103)", new component reason: "Number of TDMA Frames (1062) not matching (1063 .. 1103)" TC_pcu_rts_req-RSL(528)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(529)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_rts_req-RSL-IPA(527)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: fail reason: "Number of TDMA Frames (1062) not matching (1063 .. 1103)" MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rts_req-RSL-IPA(527): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rts_req-RSL(528): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(529): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_pcu_rts_req finished. Verdict: fail reason: Number of TDMA Frames (1062) not matching (1063 .. 1103) MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req fail'. Mon Jun 24 14:13:26 UTC 2024 ------ BTS_Tests.TC_pcu_rts_req fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rts_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5689069) Waiting for packet dumper to finish... 1 (prev_count=5689069, count=5694244) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rts_req fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert'. ------ BTS_Tests.TC_pcu_oml_alert ------ Mon Jun 24 14:13:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_oml_alert.pcap" >/data/BTS_Tests.TC_pcu_oml_alert.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_oml_alert' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_oml_alert started. TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass IPA-CTRL-CLI-IPA(532)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(533)@cf52eee7cfd6: setverdict(fail): none -> fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration", new component reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MC@cf52eee7cfd6: Test Component 533 has requested to stop MTC. Terminating current testcase execution. IPA-CTRL-CLI-IPA(533)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" TC_pcu_oml_alert-RSL(531)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_oml_alert-RSL-IPA(530)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_oml_alert-RSL-IPA(530): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_oml_alert-RSL(531): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(532): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(533): fail (pass -> fail) reason: "Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration" MTC@cf52eee7cfd6: Test case TC_pcu_oml_alert finished. Verdict: fail reason: Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail'. Mon Jun 24 14:13:34 UTC 2024 ------ BTS_Tests.TC_pcu_oml_alert fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_oml_alert.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3307177) Waiting for packet dumper to finish... 1 (prev_count=3307177, count=3307676) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_oml_alert fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend'. ------ BTS_Tests.TC_pcu_rr_suspend ------ Mon Jun 24 14:13:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_rr_suspend.pcap" >/data/BTS_Tests.TC_pcu_rr_suspend.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_rr_suspend' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_rr_suspend started. TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_rr_suspend(537)@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_rr_suspend(537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_pcu_rr_suspend(537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_pcu_rr_suspend(537)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(537)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(537)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_rr_suspend(537)@cf52eee7cfd6: Final verdict of PTC: pass TC_pcu_rr_suspend-RSL(535)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_rr_suspend-RSL-IPA(534)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(536)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rr_suspend-RSL-IPA(534): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rr_suspend-RSL(535): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(536): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_rr_suspend(537): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_rr_suspend finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass'. Mon Jun 24 14:13:40 UTC 2024 ====== BTS_Tests.TC_pcu_rr_suspend pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_rr_suspend.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2347279) Waiting for packet dumper to finish... 1 (prev_count=2347279, count=2348215) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_rr_suspend pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi'. ------ BTS_Tests.TC_pcu_socket_connect_multi ------ Mon Jun 24 14:13:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_multi' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_multi started. TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: BTS has accept()ed connection MTC@cf52eee7cfd6: BTS has close()d connection MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6302 TC_pcu_socket_connect_multi-RSL(539)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_connect_multi-RSL-IPA(538)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(540)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_multi-RSL-IPA(538): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_multi-RSL(539): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(540): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_multi finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass'. Mon Jun 24 14:13:46 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1923751) Waiting for packet dumper to finish... 1 (prev_count=1923751, count=1928926) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_multi pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect'. ------ BTS_Tests.TC_pcu_socket_reconnect ------ Mon Jun 24 14:13:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_reconnect.pcap" >/data/BTS_Tests.TC_pcu_socket_reconnect.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_reconnect' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_reconnect started. TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6322 TC_pcu_socket_reconnect-RSL(542)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_reconnect-RSL-IPA(541)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(543)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_reconnect-RSL-IPA(541): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_reconnect-RSL(542): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(543): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_reconnect finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass'. Mon Jun 24 14:13:54 UTC 2024 ====== BTS_Tests.TC_pcu_socket_reconnect pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_reconnect.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2815231) Waiting for packet dumper to finish... 1 (prev_count=2815231, count=2815730) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_reconnect pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs ------ Mon Jun 24 14:13:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_noconnect_nosi3gprs started. TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi3gprs-RSL(545)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(546)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL-IPA(544): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_noconnect_nosi3gprs-RSL(545): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(546): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_noconnect_nosi3gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass'. Mon Jun 24 14:14:02 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4442522) Waiting for packet dumper to finish... 1 (prev_count=4442522, count=4443021) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs ------ Mon Jun 24 14:14:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_noconnect_nosi4gprs started. TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6381 TC_pcu_socket_noconnect_nosi4gprs-RSL(548)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(549)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL-IPA(547): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_noconnect_nosi4gprs-RSL(548): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(549): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_noconnect_nosi4gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass'. Mon Jun 24 14:14:10 UTC 2024 ====== BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3790626) Waiting for packet dumper to finish... 1 (prev_count=3790626, count=3791125) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si3gprs ------ Mon Jun 24 14:14:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si3gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_si3gprs started. TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si3gprs-RSL(551)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_connect_si3gprs-RSL-IPA(550)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(552)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL-IPA(550): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_si3gprs-RSL(551): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(552): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_si3gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass'. Mon Jun 24 14:14:18 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_si3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4453030) Waiting for packet dumper to finish... 1 (prev_count=4453030, count=4453529) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si3gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs'. ------ BTS_Tests.TC_pcu_socket_connect_si4gprs ------ Mon Jun 24 14:14:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_connect_si4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_connect_si4gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_si4gprs started. TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6409 TC_pcu_socket_connect_si4gprs-RSL(554)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(555)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_connect_si4gprs-RSL-IPA(553)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL-IPA(553): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_connect_si4gprs-RSL(554): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(555): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_connect_si4gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass'. Mon Jun 24 14:14:26 UTC 2024 ====== BTS_Tests.TC_pcu_socket_connect_si4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_connect_si4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3796276) Waiting for packet dumper to finish... 1 (prev_count=3796276, count=3801451) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_connect_si4gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs ------ Mon Jun 24 14:14:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_disconnect_nosi3gprs started. TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi3gprs-RSL(557)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(558)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL-IPA(556): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_disconnect_nosi3gprs-RSL(557): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(558): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_disconnect_nosi3gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass'. Mon Jun 24 14:14:35 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5307017) Waiting for packet dumper to finish... 1 (prev_count=5307017, count=5307516) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs'. ------ BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs ------ Mon Jun 24 14:14:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap" >/data/BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_disconnect_nosi4gprs started. TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B'O ("++") MTC@cf52eee7cfd6: Warning: dec_SystemInformationSafeBT(): Data remained at the end of the stream after successful decoding: '2B2B2B2B2B2B2B2B2B'O ("+++++++++") MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6446 TC_pcu_socket_disconnect_nosi4gprs-RSL(560)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(561)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL-IPA(559): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_disconnect_nosi4gprs-RSL(560): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(561): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_disconnect_nosi4gprs finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass'. Mon Jun 24 14:14:45 UTC 2024 ====== BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5506356) Waiting for packet dumper to finish... 1 (prev_count=5506356, count=5506983) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind'. ------ BTS_Tests.TC_pcu_socket_verify_info_ind ------ Mon Jun 24 14:14:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap" >/data/BTS_Tests.TC_pcu_socket_verify_info_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_verify_info_ind' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_verify_info_ind started. TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_socket_verify_info_ind-RSL(563)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(564)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_verify_info_ind-RSL-IPA(562)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL-IPA(562): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_verify_info_ind-RSL(563): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(564): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_verify_info_ind finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass'. Mon Jun 24 14:14:52 UTC 2024 ====== BTS_Tests.TC_pcu_socket_verify_info_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_verify_info_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2816144) Waiting for packet dumper to finish... 1 (prev_count=2816144, count=2816643) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_verify_info_ind pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_act_deact ------ Mon Jun 24 14:14:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_act_deact started. TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_act_deact(568)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_act_deact(568)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(568)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_act_deact(568)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_act_deact-RSL(566)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_act_deact-RSL-IPA(565)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(567)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL-IPA(565): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_act_deact-RSL(566): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(567): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_act_deact(568): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_act_deact finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass'. Mon Jun 24 14:15:01 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_act_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3424666) Waiting for packet dumper to finish... 1 (prev_count=3424666, count=3430278) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_double_act ------ Mon Jun 24 14:15:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_double_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_double_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_double_act started. TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_double_act(572)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_double_act(572)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(572)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_double_act(572)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_double_act-RSL(570)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_double_act-RSL-IPA(569)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(571)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL-IPA(569): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_double_act-RSL(570): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(571): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_double_act(572): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_double_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass'. Mon Jun 24 14:15:07 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_double_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_double_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1963507) Waiting for packet dumper to finish... 1 (prev_count=1963507, count=1964006) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_double_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_deact ------ Mon Jun 24 14:15:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_act_deact started. TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_deact(576)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_deact(576)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_deact(576)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_deact-RSL(574)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_ipa_pdch_act_deact-RSL-IPA(573)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(575)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL-IPA(573): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_deact-RSL(574): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(575): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_deact(576): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_act_deact finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass'. Mon Jun 24 14:15:15 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3319344) Waiting for packet dumper to finish... 1 (prev_count=3319344, count=3319971) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_deact pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack ------ Mon Jun 24 14:15:19 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_act_tchf_act_nack started. TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_act_tchf_act_nack(580)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_ipa_pdch_act_tchf_act_nack(580)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(580)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_act_tchf_act_nack(580)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(579)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL-IPA(577): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack-RSL(578): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(579): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_act_tchf_act_nack(580): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_act_tchf_act_nack finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass'. Mon Jun 24 14:15:21 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950781) Waiting for packet dumper to finish... 1 (prev_count=1950781, count=1956485) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params'. ------ BTS_Tests.TC_pcu_info_ind_fh_params ------ Mon Jun 24 14:15:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap" >/data/BTS_Tests.TC_pcu_info_ind_fh_params.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_info_ind_fh_params' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_info_ind_fh_params started. TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Checking timeslot #7 of trx#0: { tsc := 7, hopping := 1, hsn := 0, maio := 1, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Checking timeslot #6 of trx#1: { tsc := 7, hopping := 1, hsn := 6, maio := 1, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@cf52eee7cfd6: Checking timeslot #7 of trx#1: { tsc := 7, hopping := 1, hsn := 0, maio := 0, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 6 }, ma_map := { len := 1, ma := '01100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Checking timeslot #6 of trx#2: { tsc := 7, hopping := 1, hsn := 6, maio := 0, ma_bit_len := 4, ma := '0110000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 0 }, ma_map := { len := 1, ma := '10100000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 } } } MTC@cf52eee7cfd6: Checking timeslot #7 of trx#2: { tsc := 7, hopping := 1, hsn := 0, maio := 3, ma_bit_len := 4, ma := '1010000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 2, hsn := 0 }, ma_map := { len := 1, ma := '01010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@cf52eee7cfd6: Checking timeslot #7 of trx#3: { tsc := 7, hopping := 1, hsn := 0, maio := 2, ma_bit_len := 4, ma := '0101000000000000000000000000000000000000000000000000000000000000'B } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_pcu_info_ind_fh_params-RSL(582)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(583)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_info_ind_fh_params-RSL-IPA(581)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL-IPA(581): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_info_ind_fh_params-RSL(582): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(583): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_info_ind_fh_params finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass'. Mon Jun 24 14:15:26 UTC 2024 ====== BTS_Tests.TC_pcu_info_ind_fh_params pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_info_ind_fh_params.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1933759) Waiting for packet dumper to finish... 1 (prev_count=1933759, count=1938934) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_info_ind_fh_params pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv4 ------ Mon Jun 24 14:15:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_nsvc_ipv4 started. TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv4-RSL(585)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv4-RSL-IPA(584)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(586)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL-IPA(584): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_nsvc_ipv4-RSL(585): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(586): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_nsvc_ipv4 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass'. Mon Jun 24 14:15:32 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1941212) Waiting for packet dumper to finish... 1 (prev_count=1941212, count=1941711) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6'. ------ BTS_Tests.TC_pcu_socket_nsvc_ipv6 ------ Mon Jun 24 14:15:35 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap" >/data/BTS_Tests.TC_pcu_socket_nsvc_ipv6.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_nsvc_ipv6 started. TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_socket_nsvc_ipv6-RSL(588)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_nsvc_ipv6-RSL-IPA(587)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(589)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL-IPA(587): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_nsvc_ipv6-RSL(588): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(589): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_nsvc_ipv6 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass'. Mon Jun 24 14:15:38 UTC 2024 ====== BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_nsvc_ipv6.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1950967) Waiting for packet dumper to finish... 1 (prev_count=1950967, count=1951466) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc'. ------ BTS_Tests.TC_pcu_socket_two_nsvc ------ Mon Jun 24 14:15:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap" >/data/BTS_Tests.TC_pcu_socket_two_nsvc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_socket_two_nsvc' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_socket_two_nsvc started. TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_pcu_socket_two_nsvc-RSL(591)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_socket_two_nsvc-RSL-IPA(590)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(592)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL-IPA(590): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_socket_two_nsvc-RSL(591): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(592): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_socket_two_nsvc finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass'. Mon Jun 24 14:15:43 UTC 2024 ====== BTS_Tests.TC_pcu_socket_two_nsvc pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_socket_two_nsvc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1963481) Waiting for packet dumper to finish... 1 (prev_count=1963481, count=1963980) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_socket_two_nsvc pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind'. ------ BTS_Tests.TC_pcu_interf_ind ------ Mon Jun 24 14:15:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_pcu_interf_ind.pcap" >/data/BTS_Tests.TC_pcu_interf_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_pcu_interf_ind' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_pcu_interf_ind started. TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Warning: Re-starting timer T, which is already active (running or expired). MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":6674 TC_pcu_interf_ind-RSL(594)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(595)@cf52eee7cfd6: Final verdict of PTC: none TC_pcu_interf_ind-RSL-IPA(593)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_interf_ind-RSL-IPA(593): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_pcu_interf_ind-RSL(594): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(595): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_pcu_interf_ind finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass'. Mon Jun 24 14:15:54 UTC 2024 ====== BTS_Tests.TC_pcu_interf_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_pcu_interf_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5833287) Waiting for packet dumper to finish... 1 (prev_count=5833287, count=5833878) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_pcu_interf_ind pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact'. ------ BTS_Tests.TC_dyn_osmo_pdch_unsol_deact ------ Mon Jun 24 14:15:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_unsol_deact started. TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_unsol_deact(599)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_unsol_deact(599)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_unsol_deact-RSL(597)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(598)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL-IPA(596): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact-RSL(597): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(598): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_unsol_deact(599): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_unsol_deact finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass'. Mon Jun 24 14:16:00 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1961320) Waiting for packet dumper to finish... 1 (prev_count=1961320, count=1961819) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchf_act ------ Mon Jun 24 14:16:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchf_act started. TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchf_act(603)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchf_act(603)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchf_act(603)@cf52eee7cfd6: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(602)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL(601)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL-IPA(600): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act-RSL(601): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(602): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchf_act(603): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchf_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass'. Mon Jun 24 14:16:05 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1956784) Waiting for packet dumper to finish... 1 (prev_count=1956784, count=1957283) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_act ------ Mon Jun 24 14:16:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchh_act started. TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_act(607)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_act(607)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(607)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_act(607)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_act-RSL(605)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(606)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL-IPA(604): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act-RSL(605): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(606): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_act(607): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchh_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass'. Mon Jun 24 14:16:11 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1969323) Waiting for packet dumper to finish... 1 (prev_count=1969323, count=1974935) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act ------ Mon Jun 24 14:16:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_sdcch8_act started. TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_act(611)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_act-RSL(609)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(610)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL-IPA(608): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act-RSL(609): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(610): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_act(611): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_sdcch8_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass'. Mon Jun 24 14:16:16 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2034577) Waiting for packet dumper to finish... 1 (prev_count=2034577, count=2039752) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act ------ Mon Jun 24 14:16:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchh_race_act started. TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act(615)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(616)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_tchh_race_act(615)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(615)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(616)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(616)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_tchh_race_act(616)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_tchh_race_act(615)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_tchh_race_act-RSL(613)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(614)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL-IPA(612): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act-RSL(613): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(614): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(615): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_tchh_race_act(616): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_tchh_race_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass'. Mon Jun 24 14:16:24 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2812098) Waiting for packet dumper to finish... 1 (prev_count=2812098, count=2812597) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act'. ------ BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act ------ Mon Jun 24 14:16:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap" >/data/BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_sdcch8_race_act started. TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act(620)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(621)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(622)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(623)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_osmo_pdch_sdcch8_race_act(620)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(620)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(621)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(621)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(620)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(621)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(622)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(622)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(622)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(623)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(623)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(624)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(623)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(624)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(627)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(625)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(626)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_osmo_pdch_sdcch8_race_act(627)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(626)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_osmo_pdch_sdcch8_race_act(625)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(619)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL-IPA(617): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act-RSL(618): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(619): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(620): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(621): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(622): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(623): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(624): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(625): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(626): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_osmo_pdch_sdcch8_race_act(627): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_osmo_pdch_sdcch8_race_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass'. Mon Jun 24 14:16:31 UTC 2024 ====== BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2886007) Waiting for packet dumper to finish... 1 (prev_count=2886007, count=2891619) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act ------ Mon Jun 24 14:16:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_tchf_act started. TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act(631)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act(631)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(631)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act(631)@cf52eee7cfd6: Final verdict of PTC: pass TC_dyn_ipa_pdch_tchf_act-RSL(629)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(630)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL-IPA(628): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act-RSL(629): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(630): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act(631): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_tchf_act finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass'. Mon Jun 24 14:16:37 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1971017) Waiting for packet dumper to finish... 1 (prev_count=1971017, count=1976320) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack'. ------ BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack ------ Mon Jun 24 14:16:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap" >/data/BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack started. TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(635)@cf52eee7cfd6: setverdict(pass): none -> pass TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(635)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(635)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(635)@cf52eee7cfd6: Final verdict of PTC: pass IPA-CTRL-CLI-IPA(634)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632)@cf52eee7cfd6: Final verdict of PTC: none TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL-IPA(632): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack-RSL(633): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(634): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_dyn_ipa_pdch_tchf_act_pdch_act_nack(635): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_dyn_ipa_pdch_tchf_act_pdch_act_nack finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass'. Mon Jun 24 14:16:42 UTC 2024 ====== BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1979982) Waiting for packet dumper to finish... 1 (prev_count=1979982, count=1980481) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind'. ------ BTS_Tests.TC_rll_est_ind ------ Mon Jun 24 14:16:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_ind.pcap" >/data/BTS_Tests.TC_rll_est_ind.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_ind' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_est_ind started. TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_est_ind-RSL(637)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(639)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(639)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(639)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(639)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(640)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(640)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(640)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(640)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(641)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(641)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(641)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(641)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(642)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(642)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(642)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(642)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(643)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(643)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(643)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(644)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(644)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(644)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_ind(645)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(645)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(645)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(646)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(646)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(646)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(647)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(647)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(647)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(648)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(648)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(648)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(649)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(649)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(649)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(650)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(650)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(650)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(651)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(651)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(651)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(652)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(652)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(652)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(653)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(653)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(653)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(654)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(654)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(654)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(655)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(655)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(655)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(656)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(656)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(656)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(657)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(657)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(657)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(658)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(658)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(658)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_ind(659)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(659)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(659)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(660)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(660)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(660)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(661)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(661)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(661)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_NORM_ASSIGN (1) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(662)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(662)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(662)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(663)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(663)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(663)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '01020304'O, exp := false, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(664)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(664)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(664)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(665)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(665)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(665)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_ind": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_ind(666)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_ind(666)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_ind(666)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 IPA-CTRL-CLI-IPA(638)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_est_ind-RSL(637)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_est_ind-RSL-IPA(636)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind-RSL-IPA(636): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind-RSL(637): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(638): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(639): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(640): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(641): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(642): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(643): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(644): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(645): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(646): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(647): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(648): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(649): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(650): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(651): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(652): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(653): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(654): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(655): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(656): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(657): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(658): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(659): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(660): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(661): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(662): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(663): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(664): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(665): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_ind(666): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_est_ind finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass'. Mon Jun 24 14:17:33 UTC 2024 ====== BTS_Tests.TC_rll_est_ind pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_ind.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=41336779) Waiting for packet dumper to finish... 1 (prev_count=41336779, count=41337278) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_ind pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3'. ------ BTS_Tests.TC_rll_est_req_DCCH_3 ------ Mon Jun 24 14:17:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_DCCH_3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_est_req_DCCH_3 started. TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_DCCH_3(670)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(670)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(670)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(670)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(670)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(671)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_DCCH_3(672)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(672)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(672)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(672)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(672)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_DCCH_3(673)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_DCCH_3(673)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_DCCH_3(673)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(673)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_DCCH_3(673)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_DCCH_3-RSL(668)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_est_req_DCCH_3-RSL-IPA(667)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(669)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL-IPA(667): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3-RSL(668): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(669): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3(670): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3(671): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3(672): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_DCCH_3(673): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_est_req_DCCH_3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass'. Mon Jun 24 14:17:39 UTC 2024 ====== BTS_Tests.TC_rll_est_req_DCCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_req_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2414209) Waiting for packet dumper to finish... 1 (prev_count=2414209, count=2414708) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_DCCH_3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3'. ------ BTS_Tests.TC_rll_est_req_ACCH_3 ------ Mon Jun 24 14:17:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_est_req_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_est_req_ACCH_3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_est_req_ACCH_3 started. TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(677)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(678)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(679)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_est_req_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '001'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_est_req_ACCH_3(680)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_est_req_ACCH_3-RSL(675)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(676)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_est_req_ACCH_3-RSL-IPA(674)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL-IPA(674): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3-RSL(675): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(676): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3(677): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3(678): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3(679): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_est_req_ACCH_3(680): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_est_req_ACCH_3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass'. Mon Jun 24 14:17:46 UTC 2024 ====== BTS_Tests.TC_rll_est_req_ACCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_est_req_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3503826) Waiting for packet dumper to finish... 1 (prev_count=3503826, count=3509001) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_est_req_ACCH_3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_0 ------ Mon Jun 24 14:17:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_0' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_DCCH_0 started. TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(684)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(685)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(686)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_0": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_0(687)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 IPA-CTRL-CLI-IPA(683)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL(682)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_DCCH_0-RSL-IPA(681)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL-IPA(681): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0-RSL(682): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(683): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0(684): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0(685): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0(686): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_0(687): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_DCCH_0 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass'. Mon Jun 24 14:17:53 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3416077) Waiting for packet dumper to finish... 1 (prev_count=3416077, count=3416576) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_0 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3'. ------ BTS_Tests.TC_rll_rel_ind_DCCH_3 ------ Mon Jun 24 14:17:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_DCCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_DCCH_3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_DCCH_3 started. TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(691)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(692)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(693)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_DCCH_3": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_DCCH_3(694)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_rel_ind_DCCH_3-RSL(689)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_DCCH_3-RSL-IPA(688)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(690)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL-IPA(688): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3-RSL(689): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(690): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3(691): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3(692): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3(693): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_DCCH_3(694): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_DCCH_3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass'. Mon Jun 24 14:18:01 UTC 2024 ====== BTS_Tests.TC_rll_rel_ind_DCCH_3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_DCCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3402284) Waiting for packet dumper to finish... 1 (prev_count=3402284, count=3407896) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_DCCH_3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 ------ Mon Jun 24 14:18:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_0' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_ACCH_0 started. TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(698)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(699)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(700)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_0": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 701 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_0-RSL(696)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(697)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0-RSL-IPA(695)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_ACCH_0(701)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL-IPA(695): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0-RSL(696): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(697): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0(698): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0(699): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0(700): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_0(701): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_ACCH_0 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail'. Mon Jun 24 14:18:32 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_0 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21661854) Waiting for packet dumper to finish... 1 (prev_count=21661854, count=21662353) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_0 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3'. ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 ------ Mon Jun 24 14:18:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap" >/data/BTS_Tests.TC_rll_rel_ind_ACCH_3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_ind_ACCH_3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_ACCH_3 started. TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(705)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(706)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(707)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_ind_ACCH_3": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 708 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_ind_ACCH_3-RSL(703)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(704)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3-RSL-IPA(702)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_ind_ACCH_3(708)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL-IPA(702): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3-RSL(703): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(704): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3(705): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3(706): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3(707): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_ind_ACCH_3(708): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Test case TC_rll_rel_ind_ACCH_3 finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail'. Mon Jun 24 14:19:03 UTC 2024 ------ BTS_Tests.TC_rll_rel_ind_ACCH_3 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_ind_ACCH_3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21612409) Waiting for packet dumper to finish... 1 (prev_count=21612409, count=21612908) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_ind_ACCH_3 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req'. ------ BTS_Tests.TC_rll_rel_req ------ Mon Jun 24 14:19:07 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_rel_req.pcap" >/data/BTS_Tests.TC_rll_rel_req.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_rel_req' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_rel_req started. TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_rel_req-RSL(710)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_rel_req-RSL(710)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(712)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(712)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(712)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(713)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(713)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(713)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(714)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(714)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(714)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_rel_req(715)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(715)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(715)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(716)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(716)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(716)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(717)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(717)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(717)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(718)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(718)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(718)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(718)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(719)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(719)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(719)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(720)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(720)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(720)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(720)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(720)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(720)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(721)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(721)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(721)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(722)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(722)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(722)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(722)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(722)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_rel_req(723)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(723)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(723)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '01020304'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(724)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '01020304'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '010'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(724)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(724)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_rel_req": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := ''O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_rel_req(725)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := true, u2 := '11'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_rel_req(725)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_req(725)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 725 has requested to stop MTC. Terminating current testcase execution. TC_rll_rel_req-RSL(710)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(711)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_rel_req(725)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_rll_rel_req-RSL-IPA(709)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req-RSL-IPA(709): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req-RSL(710): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(711): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(712): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(713): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(714): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(715): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(716): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(717): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(718): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(719): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(720): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(721): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(722): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(723): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(724): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_rel_req(725): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Test case TC_rll_rel_req finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail'. Mon Jun 24 14:19:45 UTC 2024 ------ BTS_Tests.TC_rll_rel_req fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_rel_req.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=30907624) Waiting for packet dumper to finish... 1 (prev_count=30907624, count=30908123) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_rel_req fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH'. ------ BTS_Tests.TC_rll_unit_data_req_DCCH ------ Mon Jun 24 14:19:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_DCCH' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_unit_data_req_DCCH started. TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(729)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_DCCH(730)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(730)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(730)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(730)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(731)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(731)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(731)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(731)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(731)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(732)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(733)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(733)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(733)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(733)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_DCCH(734)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(734)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(734)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(734)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(735)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(735)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(735)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(735)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '4879F0E272754EE69F9DF3980F2AC6'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_DCCH(736)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_DCCH(736)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := '4879F0E272754EE69F9DF3980F2AC6'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_rll_unit_data_req_DCCH(736)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_DCCH(736)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_DCCH-RSL(727)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_unit_data_req_DCCH-RSL-IPA(726)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(728)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL-IPA(726): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH-RSL(727): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(728): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(729): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(730): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(731): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(732): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(733): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(734): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(735): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_DCCH(736): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_unit_data_req_DCCH finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass'. Mon Jun 24 14:19:51 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_DCCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_req_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3016148) Waiting for packet dumper to finish... 1 (prev_count=3016148, count=3021323) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_DCCH pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH'. ------ BTS_Tests.TC_rll_unit_data_req_ACCH ------ Mon Jun 24 14:19:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_req_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_req_ACCH' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_unit_data_req_ACCH started. TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(740)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(741)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(742)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(743)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(744)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(745)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(746)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_req_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: Rx LAPDm { b4 := { addr := { spare := '0'B, lpd := 0, sapi := 3, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, payload := '5EFBF10E254AE94753EAA2D9FB0C29607D56C1'O } } TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_req_ACCH(747)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_req_ACCH-RSL(738)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_unit_data_req_ACCH-RSL-IPA(737)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(739)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL-IPA(737): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH-RSL(738): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(739): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(740): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(741): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(742): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(743): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(744): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(745): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(746): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_req_ACCH(747): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_unit_data_req_ACCH finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass'. Mon Jun 24 14:20:01 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_req_ACCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_req_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5202387) Waiting for packet dumper to finish... 1 (prev_count=5202387, count=5203323) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_req_ACCH pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH'. ------ BTS_Tests.TC_rll_unit_data_ind_DCCH ------ Mon Jun 24 14:20:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_DCCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_DCCH' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_unit_data_ind_DCCH started. TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(751)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(751)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(751)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(751)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_DCCH(752)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(752)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(752)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(753)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(753)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(753)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(753)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(754)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(754)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(754)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(754)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(755)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(755)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(755)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_DCCH(756)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(756)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(756)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 0, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(757)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(757)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(757)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_DCCH": XXX Starting { sapi := 3, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := 'C1E0787F0A5DA043804DF1D1AC466B736A16FE93'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_DCCH(758)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_DCCH(758)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_DCCH(758)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_DCCH-RSL(749)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(750)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_unit_data_ind_DCCH-RSL-IPA(748)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL-IPA(748): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH-RSL(749): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(750): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(751): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(752): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(753): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(754): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(755): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(756): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(757): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_DCCH(758): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_unit_data_ind_DCCH finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass'. Mon Jun 24 14:20:08 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_DCCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_ind_DCCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3435737) Waiting for packet dumper to finish... 1 (prev_count=3435737, count=3436236) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_DCCH pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH'. ------ BTS_Tests.TC_rll_unit_data_ind_ACCH ------ Mon Jun 24 14:20:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap" >/data/BTS_Tests.TC_rll_unit_data_ind_ACCH.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_rll_unit_data_ind_ACCH' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rll_unit_data_ind_ACCH started. TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(762)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(762)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(762)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(762)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_rll_unit_data_ind_ACCH(763)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(763)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(763)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(764)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(764)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(764)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(764)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(765)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(765)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(765)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(765)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(766)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(766)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(766)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_rll_unit_data_ind_ACCH(767)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(767)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(767)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 0, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(768)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(768)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(768)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rll_unit_data_ind_ACCH": XXX Starting { sapi := 3, link_id := { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 3 }, l3 := '5AA9446B9E59922A2DD61360E050957E5257'O, exp := true, act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rll_unit_data_ind_ACCH(769)@cf52eee7cfd6: setverdict(pass): none -> pass TC_rll_unit_data_ind_ACCH(769)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rll_unit_data_ind_ACCH(769)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7213 TC_rll_unit_data_ind_ACCH-RSL(760)@cf52eee7cfd6: Final verdict of PTC: none TC_rll_unit_data_ind_ACCH-RSL-IPA(759)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(761)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL-IPA(759): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH-RSL(760): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(761): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(762): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(763): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(764): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(765): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(766): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(767): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(768): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rll_unit_data_ind_ACCH(769): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_rll_unit_data_ind_ACCH finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass'. Mon Jun 24 14:20:23 UTC 2024 ====== BTS_Tests.TC_rll_unit_data_ind_ACCH pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_rll_unit_data_ind_ACCH.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9988994) Waiting for packet dumper to finish... 1 (prev_count=9988994, count=9989493) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_rll_unit_data_ind_ACCH pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51'. ------ BTS_Tests.TC_chan_act_a51 ------ Mon Jun 24 14:20:27 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a51.pcap" >/data/BTS_Tests.TC_chan_act_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a51' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_a51 started. TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a51-RSL(771)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_a51-RSL(771)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_chan_act_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a51(773)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a51(773)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a51(773)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(773)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(773)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(773)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(774)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a51(774)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a51(774)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(774)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a51(775)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a51(775)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a51(775)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(775)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a51(776)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a51(776)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a51(776)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a51(776)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a51(776)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a51(776)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a51-RSL(771)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(772)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_a51-RSL-IPA(770)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51-RSL-IPA(770): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51-RSL(771): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(772): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51(773): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51(774): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51(775): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a51(776): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_a51 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass'. Mon Jun 24 14:20:30 UTC 2024 ====== BTS_Tests.TC_chan_act_a51 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3180710) Waiting for packet dumper to finish... 1 (prev_count=3180710, count=3181209) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a51 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52'. ------ BTS_Tests.TC_chan_act_a52 ------ Mon Jun 24 14:20:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a52.pcap" >/data/BTS_Tests.TC_chan_act_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a52' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_a52 started. TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a52-RSL(778)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_chan_act_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a52(780)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a52(780)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a52(780)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(780)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(780)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(780)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(781)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a52(781)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a52(781)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(781)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a52(782)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a52(782)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a52(782)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(782)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a52(783)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a52(783)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a52(783)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a52(783)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a52(783)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a52-RSL(778)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_a52-RSL-IPA(777)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(779)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52-RSL-IPA(777): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52-RSL(778): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(779): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52(780): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52(781): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52(782): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a52(783): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_a52 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass'. Mon Jun 24 14:20:37 UTC 2024 ====== BTS_Tests.TC_chan_act_a52 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3181411) Waiting for packet dumper to finish... 1 (prev_count=3181411, count=3181910) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a52 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53'. ------ BTS_Tests.TC_chan_act_a53 ------ Mon Jun 24 14:20:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a53.pcap" >/data/BTS_Tests.TC_chan_act_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a53' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_a53 started. TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_a53-RSL(785)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_chan_act_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a53(787)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a53(787)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a53(787)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(787)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(787)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(787)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(788)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a53(788)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a53(788)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(788)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a53(789)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a53(789)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a53(789)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(789)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a53(790)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a53(790)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a53(790)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a53(790)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a53(790)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a53(790)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a53-RSL(785)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(786)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_a53-RSL-IPA(784)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53-RSL-IPA(784): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53-RSL(785): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(786): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53(787): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53(788): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53(789): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a53(790): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_a53 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass'. Mon Jun 24 14:20:44 UTC 2024 ====== BTS_Tests.TC_chan_act_a53 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3171198) Waiting for packet dumper to finish... 1 (prev_count=3171198, count=3171697) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a53 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54'. ------ BTS_Tests.TC_chan_act_a54 ------ Mon Jun 24 14:20:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chan_act_a54.pcap" >/data/BTS_Tests.TC_chan_act_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chan_act_a54' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chan_act_a54 started. TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_chan_act_a54-RSL(792)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_chan_act_a54-RSL(792)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_chan_act_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_chan_act_a54(794)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a54(794)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a54(794)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(794)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(794)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(794)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(795)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a54(795)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a54(795)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(795)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_chan_act_a54(796)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a54(796)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a54(796)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(796)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_chan_act_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_chan_act_a54(797)@cf52eee7cfd6: setverdict(pass): none -> pass TC_chan_act_a54(797)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_chan_act_a54(797)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_chan_act_a54(797)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 20, m := false, el := 1, payload := 'FE74113BCFDE70C8D7E846E6596BAEE467305D19'O, padding := ''O } } TC_chan_act_a54(797)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_chan_act_a54(797)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_chan_act_a54-RSL(792)@cf52eee7cfd6: Final verdict of PTC: none TC_chan_act_a54-RSL-IPA(791)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(793)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54-RSL-IPA(791): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54-RSL(792): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(793): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54(794): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54(795): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54(796): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_chan_act_a54(797): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_chan_act_a54 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass'. Mon Jun 24 14:20:52 UTC 2024 ====== BTS_Tests.TC_chan_act_a54 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chan_act_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3177154) Waiting for packet dumper to finish... 1 (prev_count=3177154, count=3182329) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chan_act_a54 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51'. ------ BTS_Tests.TC_encr_cmd_a51 ------ Mon Jun 24 14:20:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a51.pcap" >/data/BTS_Tests.TC_encr_cmd_a51.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a51' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_encr_cmd_a51 started. TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_encr_cmd_a51": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(801)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a51": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(802)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(803)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a51": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063501'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a51(804)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a51-RSL(799)@cf52eee7cfd6: Final verdict of PTC: none TC_encr_cmd_a51-RSL-IPA(798)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(800)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51-RSL-IPA(798): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51-RSL(799): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(800): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51(801): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51(802): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51(803): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a51(804): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_encr_cmd_a51 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass'. Mon Jun 24 14:21:01 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a51 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a51.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5319903) Waiting for packet dumper to finish... 1 (prev_count=5319903, count=5325515) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a51 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52'. ------ BTS_Tests.TC_encr_cmd_a52 ------ Mon Jun 24 14:21:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a52.pcap" >/data/BTS_Tests.TC_encr_cmd_a52.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a52' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_encr_cmd_a52 started. TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_encr_cmd_a52": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(808)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a52": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(809)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(810)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a52": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063503'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a52(811)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a52-RSL(806)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(807)@cf52eee7cfd6: Final verdict of PTC: none TC_encr_cmd_a52-RSL-IPA(805)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52-RSL-IPA(805): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52-RSL(806): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(807): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52(808): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52(809): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52(810): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a52(811): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_encr_cmd_a52 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass'. Mon Jun 24 14:21:11 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a52 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a52.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5326166) Waiting for packet dumper to finish... 1 (prev_count=5326166, count=5331778) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a52 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53'. ------ BTS_Tests.TC_encr_cmd_a53 ------ Mon Jun 24 14:21:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a53.pcap" >/data/BTS_Tests.TC_encr_cmd_a53.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a53' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_encr_cmd_a53 started. TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_encr_cmd_a53": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(815)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a53": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(816)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(817)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a53": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063505'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a53(818)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a53-RSL(813)@cf52eee7cfd6: Final verdict of PTC: none TC_encr_cmd_a53-RSL-IPA(812)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(814)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53-RSL-IPA(812): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53-RSL(813): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(814): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53(815): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53(816): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53(817): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a53(818): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_encr_cmd_a53 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass'. Mon Jun 24 14:21:21 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a53 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a53.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5319017) Waiting for packet dumper to finish... 1 (prev_count=5319017, count=5320081) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a53 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54'. ------ BTS_Tests.TC_encr_cmd_a54 ------ Mon Jun 24 14:21:24 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_encr_cmd_a54.pcap" >/data/BTS_Tests.TC_encr_cmd_a54.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_encr_cmd_a54' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_encr_cmd_a54 started. TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_encr_cmd_a54": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(822)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a54": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(823)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(824)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_encr_cmd_a54": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): none -> pass TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 4, m := false, el := 1, payload := '23420815'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { i := { n_r := 0, p := false, n_s := 0, spare := '0'B } }, len := 3, m := false, el := 1, payload := '063507'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("+++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { s := { n_r := 1, p_f := true, s := '00'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 15, m := false, el := 1, payload := 'E467305D1946685C60A0347E9B1AF5'O, padding := '2B2B2B2B2B'O ("+++++") } } TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_encr_cmd_a54(825)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_encr_cmd_a54-RSL(820)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(821)@cf52eee7cfd6: Final verdict of PTC: none TC_encr_cmd_a54-RSL-IPA(819)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54-RSL-IPA(819): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54-RSL(820): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(821): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54(822): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54(823): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54(824): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_encr_cmd_a54(825): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_encr_cmd_a54 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass'. Mon Jun 24 14:21:30 UTC 2024 ====== BTS_Tests.TC_encr_cmd_a54 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_encr_cmd_a54.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5330741) Waiting for packet dumper to finish... 1 (prev_count=5330741, count=5331677) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_encr_cmd_a54 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc'. ------ BTS_Tests.TC_err_rep_wrong_mdisc ------ Mon Jun 24 14:21:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap" >/data/BTS_Tests.TC_err_rep_wrong_mdisc.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_mdisc' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_mdisc started. TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_mdisc(829)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":5093 TC_err_rep_wrong_mdisc-RSL(827)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(828)@cf52eee7cfd6: Final verdict of PTC: none TC_err_rep_wrong_mdisc-RSL-IPA(826)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL-IPA(826): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_mdisc-RSL(827): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(828): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_mdisc(829): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_mdisc finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass'. Mon Jun 24 14:21:36 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_mdisc pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_mdisc.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1940910) Waiting for packet dumper to finish... 1 (prev_count=1940910, count=1946085) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_mdisc pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type'. ------ BTS_Tests.TC_err_rep_wrong_msg_type ------ Mon Jun 24 14:21:39 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap" >/data/BTS_Tests.TC_err_rep_wrong_msg_type.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_msg_type' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_msg_type started. TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(833)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_err_rep_wrong_msg_type(834)@cf52eee7cfd6: setverdict(pass): none -> pass TC_err_rep_wrong_msg_type(834)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed IPA-CTRL-CLI-IPA(832)@cf52eee7cfd6: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL-IPA(830)@cf52eee7cfd6: Final verdict of PTC: none TC_err_rep_wrong_msg_type-RSL(831)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL-IPA(830): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_msg_type-RSL(831): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(832): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_msg_type(833): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_msg_type(834): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_msg_type finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass'. Mon Jun 24 14:21:42 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_msg_type pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_msg_type.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1980832) Waiting for packet dumper to finish... 1 (prev_count=1980832, count=1986007) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_msg_type pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence'. ------ BTS_Tests.TC_err_rep_wrong_sequence ------ Mon Jun 24 14:21:45 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap" >/data/BTS_Tests.TC_err_rep_wrong_sequence.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_err_rep_wrong_sequence' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_sequence started. TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_err_rep_wrong_sequence(838)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: setverdict(pass): none -> pass TC_err_rep_wrong_sequence-RSL(836)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(837)@cf52eee7cfd6: Final verdict of PTC: none TC_err_rep_wrong_sequence-RSL-IPA(835)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_sequence-RSL-IPA(835): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_sequence-RSL(836): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(837): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_err_rep_wrong_sequence(838): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_err_rep_wrong_sequence finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass'. Mon Jun 24 14:21:47 UTC 2024 ====== BTS_Tests.TC_err_rep_wrong_sequence pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_err_rep_wrong_sequence.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1948323) Waiting for packet dumper to finish... 1 (prev_count=1948323, count=1948822) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_err_rep_wrong_sequence pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest'. ------ BTS_Tests.TC_lapdm_selftest ------ Mon Jun 24 14:21:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_lapdm_selftest.pcap" >/data/BTS_Tests.TC_lapdm_selftest.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_lapdm_selftest' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_lapdm_selftest started. MTC@cf52eee7cfd6: "ui_s0_empty": matched MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "ui_s3_empty": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "sabm_s0_empty": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "sabm_s0_l3": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "rr_s0_7": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "I/0/0": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: "I/7/0": matched MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: No PTCs were created. MTC@cf52eee7cfd6: Test case TC_lapdm_selftest finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass'. Mon Jun 24 14:21:51 UTC 2024 ====== BTS_Tests.TC_lapdm_selftest pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_lapdm_selftest.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=994240) Waiting for packet dumper to finish... 1 (prev_count=994240, count=1109563) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_lapdm_selftest pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame ------ Mon Jun 24 14:21:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_tch_sign_l2_fill_frame started. TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame(842)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(842)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(842)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(842)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(843)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(843)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(843)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(844)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(844)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(844)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(845)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(845)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(845)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(846)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(846)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(846)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_tch_sign_l2_fill_frame(847)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(847)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(847)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(848)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(848)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(848)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(849)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(849)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(849)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(850)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(850)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(850)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame(851)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(851)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(851)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(852)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(852)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(852)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(853)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(853)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(853)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(854)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(854)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(854)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(855)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(855)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(855)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(856)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(856)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(856)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(857)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(857)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(857)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(858)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(858)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(858)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(859)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(859)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(859)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(860)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(860)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(860)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_tch_sign_l2_fill_frame(861)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame(861)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_tch_sign_l2_fill_frame(861)@cf52eee7cfd6: Final verdict of PTC: pass TC_tch_sign_l2_fill_frame-RSL(840)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(841)@cf52eee7cfd6: Final verdict of PTC: none TC_tch_sign_l2_fill_frame-RSL-IPA(839)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL-IPA(839): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame-RSL(840): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(841): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(842): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(843): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(844): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(845): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(846): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(847): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(848): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(849): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(850): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(851): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(852): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(853): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(854): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(855): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(856): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(857): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(858): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(859): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(860): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame(861): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_tch_sign_l2_fill_frame finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass'. Mon Jun 24 14:22:14 UTC 2024 ====== BTS_Tests.TC_tch_sign_l2_fill_frame pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16035538) Waiting for packet dumper to finish... 1 (prev_count=16035538, count=16036037) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd'. ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd ------ Mon Jun 24 14:22:18 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap" >/data/BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_tch_sign_l2_fill_frame_dtxd started. TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_tch_sign_l2_fill_frame_dtxd(865)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(865)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)", new component reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(865)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" TC_tch_sign_l2_fill_frame_dtxd(866)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(866)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)", new component reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(866)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" TC_tch_sign_l2_fill_frame_dtxd(867)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(867)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)", new component reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(867)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" TC_tch_sign_l2_fill_frame_dtxd(868)@cf52eee7cfd6: setverdict(pass): none -> pass TC_tch_sign_l2_fill_frame_dtxd(868)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)", new component reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd(868)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" TC_tch_sign_l2_fill_frame_dtxd-RSL(863)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(864)@cf52eee7cfd6: Final verdict of PTC: none TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL-IPA(862): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd-RSL(863): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(864): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(865): fail (none -> fail) reason: "Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19)" MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(866): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 230 (mod 104: 22) (mod 26: 22)" MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(867): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 287 (mod 104: 79) (mod 26: 1)" MTC@cf52eee7cfd6: Local verdict of PTC TC_tch_sign_l2_fill_frame_dtxd(868): fail (fail -> fail) reason: "Received DTX TCH fill frame with bad frame number: 342 (mod 104: 30) (mod 26: 4)" MTC@cf52eee7cfd6: Test case TC_tch_sign_l2_fill_frame_dtxd finished. Verdict: fail reason: Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail'. Mon Jun 24 14:22:21 UTC 2024 ------ BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2945918) Waiting for packet dumper to finish... 1 (prev_count=2945918, count=2951658) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping'. ------ BTS_Tests.TC_chopped_ipa_ping ------ Mon Jun 24 14:22:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_ping.pcap" >/data/BTS_Tests.TC_chopped_ipa_ping.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_ping' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chopped_ipa_ping started. 869@cf52eee7cfd6: sending byte '00'O 869@cf52eee7cfd6: sending byte '01'O 869@cf52eee7cfd6: sending byte 'FE'O 869@cf52eee7cfd6: sending byte '00'O 869@cf52eee7cfd6: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 869@cf52eee7cfd6: received pong from "172.18.108.20" port 35193: '0001FE01'O 869@cf52eee7cfd6: setverdict(pass): none -> pass 869@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC with component reference 869: pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_chopped_ipa_ping finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass'. Mon Jun 24 14:22:50 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_ping pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chopped_ipa_ping.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11670148) Waiting for packet dumper to finish... 1 (prev_count=11670148, count=11670647) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_ping pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload'. ------ BTS_Tests.TC_chopped_ipa_payload ------ Mon Jun 24 14:22:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_chopped_ipa_payload.pcap" >/data/BTS_Tests.TC_chopped_ipa_payload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_chopped_ipa_payload' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_chopped_ipa_payload started. 870@cf52eee7cfd6: sending byte '04'O 870@cf52eee7cfd6: sending byte '01'O 870@cf52eee7cfd6: sending byte '08'O ("\b") 870@cf52eee7cfd6: sending byte '01'O 870@cf52eee7cfd6: sending byte '07'O ("\a") 870@cf52eee7cfd6: sending byte '01'O 870@cf52eee7cfd6: sending byte '02'O 870@cf52eee7cfd6: Warning: There is no GetMsgLen function registered for connId: 2. The messages will not be dissected on this connection! This warning is logged only once per testport. 870@cf52eee7cfd6: received IPA message from "172.18.108.20" port 35597: '0026FE05000A08313233342F302F300000130730323A34323A61633A31323A36633A313400000202000001FE06'O 870@cf52eee7cfd6: setverdict(pass): none -> pass 870@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC with component reference 870: pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_chopped_ipa_payload finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass'. Mon Jun 24 14:23:37 UTC 2024 ====== BTS_Tests.TC_chopped_ipa_payload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_chopped_ipa_payload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=19944262) Waiting for packet dumper to finish... 1 (prev_count=19944262, count=19944761) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_chopped_ipa_payload pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant'. ------ BTS_Tests.TC_ms_pwr_ctrl_constant ------ Mon Jun 24 14:23:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_constant.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_constant' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ms_pwr_ctrl_constant started. TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_constant: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(874)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_constant: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(875)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(876)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_constant: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_constant(877)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8193 TC_ms_pwr_ctrl_constant-RSL(872)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(873)@cf52eee7cfd6: Final verdict of PTC: none TC_ms_pwr_ctrl_constant-RSL-IPA(871)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL-IPA(871): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant-RSL(872): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(873): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant(874): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant(875): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant(876): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_constant(877): pass (fail -> fail) MTC@cf52eee7cfd6: Test case TC_ms_pwr_ctrl_constant finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail'. Mon Jun 24 14:23:59 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_constant fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_constant.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16196444) Waiting for packet dumper to finish... 1 (prev_count=16196444, count=16196943) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_constant fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma'. ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma ------ Mon Jun 24 14:24:03 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap" >/data/BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ms_pwr_ctrl_pf_ewma started. TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(881)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Unexpected MS Power level change: 7 -> 13", new component reason: "Unexpected MS Power level change: 7 -> 13" TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 13, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 13", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 11, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 11", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 9, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: "Unexpected MS Power level change: 7 -> 9", component reason not changed TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(882)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(883)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: TC_ms_pwr_ctrl_pf_ewma: starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: f_send_meas_rep_l1h(): Tx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: setverdict(pass): none -> pass TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 15 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 14 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 13 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 12 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 11 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 10 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 9 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 8 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 7 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 6 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 5 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 4 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 3 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 2 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 1 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): Rx SACCH L1 header: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 } TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: as_TC_ms_pwr_ctrl(): 0 SACCH blocks remaining TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ms_pwr_ctrl_pf_ewma(884)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8260 TC_ms_pwr_ctrl_pf_ewma-RSL(879)@cf52eee7cfd6: Final verdict of PTC: none TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(880)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL-IPA(878): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma-RSL(879): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(880): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(881): fail (none -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(882): fail (fail -> fail) reason: "Unexpected MS Power level change: 7 -> 13" MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(883): pass (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_ms_pwr_ctrl_pf_ewma(884): pass (fail -> fail) MTC@cf52eee7cfd6: Test case TC_ms_pwr_ctrl_pf_ewma finished. Verdict: fail reason: Unexpected MS Power level change: 7 -> 13 MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail'. Mon Jun 24 14:24:36 UTC 2024 ------ BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=29597249) Waiting for packet dumper to finish... 1 (prev_count=29597249, count=29602424) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_ms_pwr_ctrl_pf_ewma fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf'. ------ BTS_Tests.TC_speech_no_rtp_tchf ------ Mon Jun 24 14:24:40 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchf' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_no_rtp_tchf started. TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 550, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 567, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(888)@cf52eee7cfd6: Final verdict of PTC: pass TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1009, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1014, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1018, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(889)@cf52eee7cfd6: Final verdict of PTC: pass TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1477, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1482, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1486, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1490, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1495, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchf(890)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8332 TC_speech_no_rtp_tchf-RSL(886)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(887)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_no_rtp_tchf-RSL-IPA(885)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchf-RSL-IPA(885): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchf-RSL(886): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(887): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchf(888): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchf(889): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchf(890): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_no_rtp_tchf finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass'. Mon Jun 24 14:24:48 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_no_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9569309) Waiting for packet dumper to finish... 1 (prev_count=9569309, count=9569808) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchf pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh'. ------ BTS_Tests.TC_speech_no_rtp_tchh ------ Mon Jun 24 14:24:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_no_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_no_rtp_tchh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_no_rtp_tchh started. TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 546, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 550, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: SACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: LAPDm: { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := '06150A0A0000'O, padding := ''O } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Sending Measurement Report: { reserved := 0, fpc := false, ms_power_lvl := 7, actual_ta := 0 }'01031906150A0A0000000000000000000000000000'O TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 554, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 559, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 563, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(894)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 1): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1009, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 2): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1014, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 3): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1018, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 4): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1022, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Rx dummy TRAFFIC.ind (num 5): { header := { msg_type := L1CTL_TRAFFIC_IND (30), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1027, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 2 }, payload := omit } TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_no_rtp_tchh(895)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8351 TC_speech_no_rtp_tchh-RSL(892)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(893)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_no_rtp_tchh-RSL-IPA(891)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchh-RSL-IPA(891): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchh-RSL(892): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(893): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchh(894): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_no_rtp_tchh(895): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_no_rtp_tchh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass'. Mon Jun 24 14:24:58 UTC 2024 ====== BTS_Tests.TC_speech_no_rtp_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_no_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5520772) Waiting for packet dumper to finish... 1 (prev_count=5520772, count=5521271) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_no_rtp_tchh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf'. ------ BTS_Tests.TC_speech_rtp_tchf ------ Mon Jun 24 14:25:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchf.pcap" >/data/BTS_Tests.TC_speech_rtp_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchf' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_rtp_tchf started. TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(899)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchf(899)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_rtp_tchf(899)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(899)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(899)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(899)@cf52eee7cfd6: TCH received (len=33): 'D0FE74113BCFDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(899)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(899)@cf52eee7cfd6: TCH received (len=33): 'D0FE74113BCFDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(899)@cf52eee7cfd6: TCH received (len=33): 'D0FE74113BCFDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF'O TC_speech_rtp_tchf(899)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(899)@cf52eee7cfd6: Final verdict of PTC: pass TC_speech_rtp_tchf(901)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM2 (17) } } TC_speech_rtp_tchf(901)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_rtp_tchf(901)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchf(901)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(901)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(901)@cf52eee7cfd6: TCH received (len=31): 'C0FE74113BCFDE000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(901)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(901)@cf52eee7cfd6: TCH received (len=31): 'C0FE74113BCFDE000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(901)@cf52eee7cfd6: TCH received (len=31): 'C0FE74113BCFDE000000000000000000000000000000000000000000000000'O TC_speech_rtp_tchf(901)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(901)@cf52eee7cfd6: Final verdict of PTC: pass TC_speech_rtp_tchf(903)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_F (8), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchf(903)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_rtp_tchf(903)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchf(903)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchf(903)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchf(903)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchf(903)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchf(903)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8472 TC_speech_rtp_tchf-RTPEM(902)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchf-RSL-IPA(896)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(898)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchf-RSL(897)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(900)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchf-RTPEM(904)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf-RSL-IPA(896): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf-RSL(897): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(898): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf(899): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(900): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf(901): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(902): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf(903): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchf-RTPEM(904): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_rtp_tchf finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass'. Mon Jun 24 14:25:10 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_rtp_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=9505276) Waiting for packet dumper to finish... 1 (prev_count=9505276, count=9505775) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchf pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh'. ------ BTS_Tests.TC_speech_rtp_tchh ------ Mon Jun 24 14:25:13 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_rtp_tchh.pcap" >/data/BTS_Tests.TC_speech_rtp_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_rtp_tchh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_rtp_tchh started. TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(908)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM1 (1) } } TC_speech_rtp_tchh(908)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_rtp_tchh(908)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_rtp_tchh(908)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(908)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(908)@cf52eee7cfd6: TCH received (len=15): '00FE74113BCFDE0000000000000000'O TC_speech_rtp_tchh(908)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(908)@cf52eee7cfd6: TCH received (len=15): '00FE74113BCFDE0000000000000000'O TC_speech_rtp_tchh(908)@cf52eee7cfd6: TCH received (len=15): '00FE74113BCFDE0000000000000000'O TC_speech_rtp_tchh(908)@cf52eee7cfd6: TCH received (len=15): '00FE74113BCFDE0000000000000000'O TC_speech_rtp_tchh(908)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(908)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_rtp_tchh(910)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_SPEECH (1), ch_rate_type := RSL_CHRT_TCH_H (9), u := { speech := RSL_CMOD_SP_GSM3 (33) } } TC_speech_rtp_tchh(910)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_rtp_tchh(910)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_rtp_tchh(910)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(910)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(910)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchh(910)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(910)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchh(910)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchh(910)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_rtp_tchh(910)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_rtp_tchh(910)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8491 TC_speech_rtp_tchh-RSL(906)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(911)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchh-RTPEM(909)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(907)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_rtp_tchh-RSL-IPA(905)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh-RSL-IPA(905): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh-RSL(906): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(907): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh(908): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(909): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh(910): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_rtp_tchh-RTPEM(911): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_rtp_tchh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass'. Mon Jun 24 14:25:20 UTC 2024 ====== BTS_Tests.TC_speech_rtp_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_rtp_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5502629) Waiting for packet dumper to finish... 1 (prev_count=5502629, count=5503128) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_rtp_tchh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf'. ------ BTS_Tests.TC_speech_osmux_tchf ------ Mon Jun 24 14:25:23 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchf.pcap" >/data/BTS_Tests.TC_speech_osmux_tchf.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchf' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_osmux_tchf started. TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_osmux_tchf(915)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(915)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: got 'FE74113BCFDE000000000000000000'O vs exp 'FE74113BCFDE000000000000000000'O TC_speech_osmux_tchf(915)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchf(915)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8593 TC_speech_osmux_tchf-RSL(913)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(914)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_osmux_tchf-RSL-IPA(912)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_osmux_tchf-OsmuxEM(916)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchf-RSL-IPA(912): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchf-RSL(913): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(914): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchf(915): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchf-OsmuxEM(916): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_osmux_tchf finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass'. Mon Jun 24 14:25:27 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchf pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_osmux_tchf.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4517240) Waiting for packet dumper to finish... 1 (prev_count=4517240, count=4517739) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchf pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh'. ------ BTS_Tests.TC_speech_osmux_tchh ------ Mon Jun 24 14:25:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_speech_osmux_tchh.pcap" >/data/BTS_Tests.TC_speech_osmux_tchh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_speech_osmux_tchh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_speech_osmux_tchh started. TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_speech_osmux_tchh(920)@cf52eee7cfd6: setverdict(pass): none -> pass TC_speech_osmux_tchh(920)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '04'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(920)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: TCH received (len=17): '2014FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: got 'FE74113BCFDE000000000000000000'O vs exp 'FE74113BCFDE000000000000000000'O TC_speech_osmux_tchh(920)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_speech_osmux_tchh(920)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8607 IPA-CTRL-CLI-IPA(919)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_osmux_tchh-RSL(918)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_osmux_tchh-OsmuxEM(921)@cf52eee7cfd6: Final verdict of PTC: none TC_speech_osmux_tchh-RSL-IPA(917)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchh-RSL-IPA(917): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchh-RSL(918): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(919): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchh(920): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_speech_osmux_tchh-OsmuxEM(921): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_speech_osmux_tchh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass'. Mon Jun 24 14:25:35 UTC 2024 ====== BTS_Tests.TC_speech_osmux_tchh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_speech_osmux_tchh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3765720) Waiting for packet dumper to finish... 1 (prev_count=3765720, count=3766219) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_speech_osmux_tchh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144'. ------ BTS_Tests.TC_data_rtp_tchf144 ------ Mon Jun 24 14:25:38 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf144.pcap" >/data/BTS_Tests.TC_data_rtp_tchf144.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf144' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf144 started. TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf144(925)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_14k4 (24) } } TC_data_rtp_tchf144(925)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf144(925)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf144-RTPEM(926)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf144(925)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"", new component reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144(925)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":789 MC@cf52eee7cfd6: Test Component 925 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchf144-RSL(923)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf144(925)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" TC_data_rtp_tchf144-RSL-IPA(922)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf144-RTPEM(926)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(924)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf144-RSL-IPA(922): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf144-RSL(923): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(924): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf144(925): fail (none -> fail) reason: ""BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }"" MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf144-RTPEM(926): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf144 finished. Verdict: fail reason: "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail'. Mon Jun 24 14:25:41 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchf144 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf144.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=1975863) Waiting for packet dumper to finish... 1 (prev_count=1975863, count=1976799) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf144 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96'. ------ BTS_Tests.TC_data_rtp_tchf96 ------ Mon Jun 24 14:25:44 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf96.pcap" >/data/BTS_Tests.TC_data_rtp_tchf96.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf96' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf96 started. TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_9k6 (16) } } TC_data_rtp_tchf96(930)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf96(930)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(931)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(930)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(930)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(930)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(931)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(930)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(930)@cf52eee7cfd6: Final verdict of PTC: pass TC_data_rtp_tchf96(932)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_12k0 (80) } } TC_data_rtp_tchf96(932)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf96(932)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(933)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(932)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(932)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf96(932)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96-RTPEM(933)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf96(932)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf96(932)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8728 TC_data_rtp_tchf96-RSL(928)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf96-RSL-IPA(927)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(929)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(931)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf96-RTPEM(933)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96-RSL-IPA(927): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96-RSL(928): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(929): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96(930): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(931): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96(932): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf96-RTPEM(933): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf96 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass'. Mon Jun 24 14:25:47 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf96 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf96.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2961659) Waiting for packet dumper to finish... 1 (prev_count=2961659, count=2962158) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf96 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48'. ------ BTS_Tests.TC_data_rtp_tchf48 ------ Mon Jun 24 14:25:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf48.pcap" >/data/BTS_Tests.TC_data_rtp_tchf48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf48' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf48 started. TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchf48(937)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf48(937)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(938)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(937)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(937)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(937)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(938)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(937)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(937)@cf52eee7cfd6: Final verdict of PTC: pass TC_data_rtp_tchf48(939)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchf48(939)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf48(939)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(940)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(939)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(939)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: Sending Uplink TCH (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: TCH received (len=120): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf48(939)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48-RTPEM(940)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf48(939)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf48(939)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8749 TC_data_rtp_tchf48-RSL(935)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf48-RSL-IPA(934)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(936)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(940)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf48-RTPEM(938)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48-RSL-IPA(934): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48-RSL(935): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(936): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48(937): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(938): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48(939): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf48-RTPEM(940): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf48 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass'. Mon Jun 24 14:25:54 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf48 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2971300) Waiting for packet dumper to finish... 1 (prev_count=2971300, count=2971799) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf48 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48'. ------ BTS_Tests.TC_data_rtp_tchh48 ------ Mon Jun 24 14:25:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh48.pcap" >/data/BTS_Tests.TC_data_rtp_tchh48.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh48' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchh48 started. TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(944)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_4k8 (17) } } TC_data_rtp_tchh48(944)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchh48(944)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(945)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(944)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(944)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(944)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(945)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(944)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(944)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh48(946)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_NT_6k0 (81) } } TC_data_rtp_tchh48(946)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchh48(946)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(947)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(946)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(946)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: Sending Uplink TCH (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: TCH received (len=240): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh48(946)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48-RTPEM(947)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh48(946)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh48(946)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8770 TC_data_rtp_tchh48-RSL(942)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(943)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh48-RSL-IPA(941)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(945)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh48-RTPEM(947)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48-RSL-IPA(941): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48-RSL(942): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(943): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48(944): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(945): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48(946): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh48-RTPEM(947): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchh48 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass'. Mon Jun 24 14:26:00 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchh48 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchh48.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2873592) Waiting for packet dumper to finish... 1 (prev_count=2873592, count=2874091) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh48 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24'. ------ BTS_Tests.TC_data_rtp_tchf24 ------ Mon Jun 24 14:26:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchf24.pcap" >/data/BTS_Tests.TC_data_rtp_tchf24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchf24' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf24 started. TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchf24(951)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf24(951)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(952)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(951)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(951)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(951)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(952)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(951)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(951)@cf52eee7cfd6: Final verdict of PTC: pass TC_data_rtp_tchf24(953)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_F (8), u := { data := RSL_CMOD_CSD_T_1k2 (19) } } TC_data_rtp_tchf24(953)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchf24(953)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(954)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(953)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(953)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: Sending Uplink TCH (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: TCH received (len=72): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchf24(953)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24-RTPEM(954)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchf24(953)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchf24(953)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8790 TC_data_rtp_tchf24-RTPEM(954)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf24-RSL-IPA(948)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(950)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf24-RSL(949)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchf24-RTPEM(952)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24-RSL-IPA(948): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24-RSL(949): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(950): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24(951): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(952): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24(953): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchf24-RTPEM(954): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchf24 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass'. Mon Jun 24 14:26:07 UTC 2024 ====== BTS_Tests.TC_data_rtp_tchf24 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchf24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2593368) Waiting for packet dumper to finish... 1 (prev_count=2593368, count=2598543) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchf24 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24'. ------ BTS_Tests.TC_data_rtp_tchh24 ------ Mon Jun 24 14:26:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_data_rtp_tchh24.pcap" >/data/BTS_Tests.TC_data_rtp_tchh24.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_data_rtp_tchh24' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_data_rtp_tchh24 started. TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_data_rtp_tchh24(958)@cf52eee7cfd6: Testing channel mode { len := 0, reserved := '000000'B, dtx_d := false, dtx_u := false, spd_ind := RSL_SPDI_DATA (2), ch_rate_type := RSL_CHRT_TCH_H (9), u := { data := RSL_CMOD_CSD_T_2k4 (18) } } TC_data_rtp_tchh24(958)@cf52eee7cfd6: setverdict(pass): none -> pass TC_data_rtp_tchh24(958)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24-RTPEM(959)@cf52eee7cfd6: Warning: Stopping inactive timer T_transmit. TC_data_rtp_tchh24(958)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_data_rtp_tchh24(958)@cf52eee7cfd6: Warning: dec_L1ctlMessageLV(): Data remained at the end of the stream after successful decoding: '00'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: Sending Uplink TCH (len=144): '000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001000100010001'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: FACCH received: '0F000303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: TCH received (len=144): '010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101010101'O TC_data_rtp_tchh24(958)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for matching Downlink data frame", new component reason: "Timeout waiting for matching Downlink data frame" TC_data_rtp_tchh24(958)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8678 MC@cf52eee7cfd6: Test Component 958 has requested to stop MTC. Terminating current testcase execution. TC_data_rtp_tchh24-RSL(956)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh24-RSL-IPA(955)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh24-RTPEM(959)@cf52eee7cfd6: Final verdict of PTC: none TC_data_rtp_tchh24(958)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for matching Downlink data frame" IPA-CTRL-CLI-IPA(957)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh24-RSL-IPA(955): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh24-RSL(956): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(957): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh24(958): fail (none -> fail) reason: "Timeout waiting for matching Downlink data frame" MTC@cf52eee7cfd6: Local verdict of PTC TC_data_rtp_tchh24-RTPEM(959): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_data_rtp_tchh24 finished. Verdict: fail reason: Timeout waiting for matching Downlink data frame MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 fail'. Mon Jun 24 14:26:16 UTC 2024 ------ BTS_Tests.TC_data_rtp_tchh24 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_data_rtp_tchh24.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5257139) Waiting for packet dumper to finish... 1 (prev_count=5257139, count=5262314) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_data_rtp_tchh24 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment'. ------ BTS_Tests.TC_early_immediate_assignment ------ Mon Jun 24 14:26:20 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_early_immediate_assignment.pcap" >/data/BTS_Tests.TC_early_immediate_assignment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_early_immediate_assignment' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_early_immediate_assignment started. TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_early_immediate_assignment(963)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(963)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, tsc := 7, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 20 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(963)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_early_immediate_assignment(963)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(963)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(963)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(964)@cf52eee7cfd6: setverdict(pass): none -> pass reason: "Received CHAN-RQD from RACH REQ", new component reason: "Received CHAN-RQD from RACH REQ" TC_early_immediate_assignment(964)@cf52eee7cfd6: PCH/AGCH DL RR: { header := { l2_plen := { l2_plen := 0, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 0 }, tsc := 7, h := false, arfcn := 873, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00010111'B, t1p := 0, t3 := 22, t2 := 15 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } TC_early_immediate_assignment(964)@cf52eee7cfd6: Received IMM.ASS for our RACH! TC_early_immediate_assignment(964)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(964)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_early_immediate_assignment(964)@cf52eee7cfd6: Final verdict of PTC: pass reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":8891 TC_early_immediate_assignment-RSL-IPA(960)@cf52eee7cfd6: Final verdict of PTC: none TC_early_immediate_assignment-RSL(961)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(962)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_early_immediate_assignment-RSL-IPA(960): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_early_immediate_assignment-RSL(961): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(962): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_early_immediate_assignment(963): pass (none -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Local verdict of PTC TC_early_immediate_assignment(964): pass (pass -> pass) reason: "Received CHAN-RQD from RACH REQ" MTC@cf52eee7cfd6: Test case TC_early_immediate_assignment finished. Verdict: pass reason: Received CHAN-RQD from RACH REQ MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass'. Mon Jun 24 14:26:24 UTC 2024 ====== BTS_Tests.TC_early_immediate_assignment pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_early_immediate_assignment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=4083433) Waiting for packet dumper to finish... 1 (prev_count=4083433, count=4083932) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_early_immediate_assignment pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh ------ Mon Jun 24 14:26:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_acch_overpower_rxqual_thresh started. TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@cf52eee7cfd6: Test Component 968 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh-RSL(966)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh(968)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(967)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh-RSL-IPA(965)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL-IPA(965): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh-RSL(966): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(967): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh(968): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@cf52eee7cfd6: Test case TC_acch_overpower_rxqual_thresh finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail'. Mon Jun 24 14:26:39 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6991149) Waiting for packet dumper to finish... 1 (prev_count=6991149, count=6991648) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx'. ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx ------ Mon Jun 24 14:26:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap" >/data/BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_acch_overpower_rxqual_thresh_dtx started. TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: RxLev(BCCH) := 30 TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: Expected RxLev(DCCH) := 22 TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: setverdict(pass): none -> pass TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 0 } TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@cf52eee7cfd6: Test Component 972 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_rxqual_thresh_dtx(972)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(971)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_rxqual_thresh_dtx-RSL(970)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL-IPA(969): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx-RSL(970): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(971): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_rxqual_thresh_dtx(972): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@cf52eee7cfd6: Test case TC_acch_overpower_rxqual_thresh_dtx finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail'. Mon Jun 24 14:26:54 UTC 2024 ------ BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6974013) Waiting for packet dumper to finish... 1 (prev_count=6974013, count=6974512) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch'. ------ BTS_Tests.TC_acch_overpower_always_on_facch ------ Mon Jun 24 14:26:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_facch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_facch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_acch_overpower_always_on_facch started. TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: RxLev(BCCH) := 30 TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: setverdict(pass): none -> pass TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: Executing step[0] := { meas_res := omit, overpower_sacch := 0, overpower_facch := 4 } TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@cf52eee7cfd6: Test Component 976 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_facch-RSL(974)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_always_on_facch(976)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" IPA-CTRL-CLI-IPA(975)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_always_on_facch-RSL-IPA(973)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL-IPA(973): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_facch-RSL(974): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(975): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_facch(976): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" Mon Jun 24 14:27:08 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_facch fail ------ MTC@cf52eee7cfd6: Test case TC_acch_overpower_always_on_facch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail'. Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_always_on_facch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6980873) Waiting for packet dumper to finish... 1 (prev_count=6980873, count=6981372) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_facch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch'. ------ BTS_Tests.TC_acch_overpower_always_on_sacch ------ Mon Jun 24 14:27:12 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap" >/data/BTS_Tests.TC_acch_overpower_always_on_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_always_on_sacch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_acch_overpower_always_on_sacch started. TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: RxLev(BCCH) := 30 TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: Expected RxLev(DCCH) := 22 TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: setverdict(pass): none -> pass TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: Executing step[0] := { meas_res := omit, overpower_sacch := 4, overpower_facch := 0 } TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@cf52eee7cfd6: Test Component 980 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_always_on_sacch(980)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_always_on_sacch-RSL(978)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_always_on_sacch-RSL-IPA(977)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(979)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL-IPA(977): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_sacch-RSL(978): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(979): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_always_on_sacch(980): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@cf52eee7cfd6: Test case TC_acch_overpower_always_on_sacch finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail'. Mon Jun 24 14:27:23 UTC 2024 ------ BTS_Tests.TC_acch_overpower_always_on_sacch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_always_on_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6996031) Waiting for packet dumper to finish... 1 (prev_count=6996031, count=6996530) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_always_on_sacch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit'. ------ BTS_Tests.TC_acch_overpower_limit ------ Mon Jun 24 14:27:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests.TC_acch_overpower_limit.pcap" >/data/BTS_Tests.TC_acch_overpower_limit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests.TC_acch_overpower_limit' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_acch_overpower_limit started. TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_acch_overpower_limit(984)@cf52eee7cfd6: RxLev(BCCH) := 30 TC_acch_overpower_limit(984)@cf52eee7cfd6: Expected RxLev(DCCH) := 28 TC_acch_overpower_limit(984)@cf52eee7cfd6: setverdict(pass): none -> pass TC_acch_overpower_limit(984)@cf52eee7cfd6: Executing step[0] := { meas_res := omit, overpower_sacch := 2, overpower_facch := 2 } TC_acch_overpower_limit(984)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for L1CTL DATA.ind", new component reason: "Timeout waiting for L1CTL DATA.ind" MC@cf52eee7cfd6: Test Component 984 has requested to stop MTC. Terminating current testcase execution. TC_acch_overpower_limit-RSL(982)@cf52eee7cfd6: Final verdict of PTC: none TC_acch_overpower_limit(984)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for L1CTL DATA.ind" TC_acch_overpower_limit-RSL-IPA(981)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(983)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_limit-RSL-IPA(981): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_limit-RSL(982): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(983): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_acch_overpower_limit(984): fail (none -> fail) reason: "Timeout waiting for L1CTL DATA.ind" MTC@cf52eee7cfd6: Test case TC_acch_overpower_limit finished. Verdict: fail reason: Timeout waiting for L1CTL DATA.ind MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail'. Mon Jun 24 14:27:38 UTC 2024 ------ BTS_Tests.TC_acch_overpower_limit fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests.TC_acch_overpower_limit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7004348) Waiting for packet dumper to finish... 1 (prev_count=7004348, count=7004847) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests.TC_acch_overpower_limit fail' was executed successfully (exit status: 0). MC@cf52eee7cfd6: Test execution finished. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch'. ------ BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch ------ Mon Jun 24 14:27:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap" >/data/BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_cbch_load_idle_no_cbch started. TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":758 TC_cbch_load_idle_no_cbch-RSL(986)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(987)@cf52eee7cfd6: Final verdict of PTC: none TC_cbch_load_idle_no_cbch-RSL-IPA(985)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL-IPA(985): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_cbch_load_idle_no_cbch-RSL(986): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(987): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_cbch_load_idle_no_cbch finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass'. Mon Jun 24 14:27:53 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6394140) Waiting for packet dumper to finish... 1 (prev_count=6394140, count=6394639) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block ------ Mon Jun 24 14:27:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_1block started. TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_1block-RSL(989)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(990)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL-IPA(988): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_1block-RSL(989): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(990): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_1block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass'. Mon Jun 24 14:28:12 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10224302) Waiting for packet dumper to finish... 1 (prev_count=10224302, count=10224801) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block ------ Mon Jun 24 14:28:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_2block started. TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 12, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_2block-RSL(992)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(993)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL-IPA(991): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_2block-RSL(992): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(993): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_2block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass'. Mon Jun 24 14:28:31 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10213095) Waiting for packet dumper to finish... 1 (prev_count=10213095, count=10214031) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block ------ Mon Jun 24 14:28:34 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_3block started. TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_3block-RSL(995)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(996)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL-IPA(994): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_3block-RSL(995): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(996): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_3block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass'. Mon Jun 24 14:28:49 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10245111) Waiting for packet dumper to finish... 1 (prev_count=10245111, count=10245610) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block ------ Mon Jun 24 14:28:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_4block started. TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_4block-RSL(998)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(999)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL-IPA(997): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_4block-RSL(998): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(999): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_4block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass'. Mon Jun 24 14:29:08 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10233938) Waiting for packet dumper to finish... 1 (prev_count=10233938, count=10234437) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi ------ Mon Jun 24 14:29:11 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_multi started. TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 10, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2480, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2531, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2582, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2633, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2684, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2735, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2786, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2837, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2888, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2939, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2990, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3041, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3092, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_multi-RSL(1001)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1002)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL-IPA(1000): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_multi-RSL(1001): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1002): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_multi finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass'. Mon Jun 24 14:29:33 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15642587) Waiting for packet dumper to finish... 1 (prev_count=15642587, count=15643086) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule ------ Mon Jun 24 14:29:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_schedule started. TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_schedule-RSL(1004)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1005)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL-IPA(1003): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_schedule-RSL(1004): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1005): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_schedule finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass'. Mon Jun 24 14:29:51 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10211178) Waiting for packet dumper to finish... 1 (prev_count=10211178, count=10216790) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only ------ Mon Jun 24 14:29:55 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_only started. TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:664 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":664 TC_sms_cb_cmd_sdcch4_default_only-RSL(1007)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1008)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL-IPA(1006): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_only-RSL(1007): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1008): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_only finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass'. Mon Jun 24 14:30:12 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12044108) Waiting for packet dumper to finish... 1 (prev_count=12044108, count=12044607) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal ------ Mon Jun 24 14:30:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_and_normal started. TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 134, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 185, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 236, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 287, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 338, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 389, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 440, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 491, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 542, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 593, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 644, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 695, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 746, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 797, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 848, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 899, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 950, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1001, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1052, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1103, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1154, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2276, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2327, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2378, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2429, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1011)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL-IPA(1009): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_and_normal-RSL(1010): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1011): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_and_normal finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass'. Mon Jun 24 14:30:34 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12909074) Waiting for packet dumper to finish... 1 (prev_count=12909074, count=12909573) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null ------ Mon Jun 24 14:30:37 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_then_null started. TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3347, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3398, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3449, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3500, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3551, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3602, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3653, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3704, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3755, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3806, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3857, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3908, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 3959, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4010, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4061, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4112, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4163, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4214, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4265, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4316, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 4367, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:734 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":734 TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1014)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL-IPA(1012): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch4_default_then_null-RSL(1013): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1014): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch4_default_then_null finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass'. Mon Jun 24 14:31:04 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=21094834) Waiting for packet dumper to finish... 1 (prev_count=21094834, count=21095333) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle ------ Mon Jun 24 14:31:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_cbc_sdcch4_load_idle started. TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch4_load_idle-RSL(1016)@cf52eee7cfd6: Final verdict of PTC: none TC_cbc_sdcch4_load_idle-RSL-IPA(1015)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1017)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL-IPA(1015): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch4_load_idle-RSL(1016): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1017): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_cbc_sdcch4_load_idle finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass'. Mon Jun 24 14:31:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7604038) Waiting for packet dumper to finish... 1 (prev_count=7604038, count=7604537) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload ------ Mon Jun 24 14:31:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_cbc_sdcch4_load_overload started. TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch4_load_overload-RSL(1019)@cf52eee7cfd6: Final verdict of PTC: none TC_cbc_sdcch4_load_overload-RSL-IPA(1018)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1020)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL-IPA(1018): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch4_load_overload-RSL(1019): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1020): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_cbc_sdcch4_load_overload finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass'. Mon Jun 24 14:31:47 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8626469) Waiting for packet dumper to finish... 1 (prev_count=8626469, count=8626968) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block ------ Mon Jun 24 14:31:51 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_1block started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_1block-RSL(1022)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1023)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL-IPA(1021): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_1block-RSL(1022): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1023): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_1block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass'. Mon Jun 24 14:32:06 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8553423) Waiting for packet dumper to finish... 1 (prev_count=8553423, count=8558598) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block ------ Mon Jun 24 14:32:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_2block started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '31000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_2block-RSL(1025)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1026)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL-IPA(1024): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_2block-RSL(1025): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1026): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_2block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass'. Mon Jun 24 14:32:25 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8517834) Waiting for packet dumper to finish... 1 (prev_count=8517834, count=8518333) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block ------ Mon Jun 24 14:32:28 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_3block started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_3block-RSL(1028)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1029)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL-IPA(1027): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_3block-RSL(1028): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1029): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_3block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass'. Mon Jun 24 14:32:43 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8527944) Waiting for packet dumper to finish... 1 (prev_count=8527944, count=8528443) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block ------ Mon Jun 24 14:32:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_4block started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_4block-RSL(1031)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1032)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL-IPA(1030): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_4block-RSL(1031): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1032): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_4block finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass'. Mon Jun 24 14:33:02 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8531481) Waiting for packet dumper to finish... 1 (prev_count=8531481, count=8531980) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi ------ Mon Jun 24 14:33:05 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_multi started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33201122232425262728292A2B2C2D2E2F303233343536'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '201122232425262728292A2B2C2D2E2F303233343536'O } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20002000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '002000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21002102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '002102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22102112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '102112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 1 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20003000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '003000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21003102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '003102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22103112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '103112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33203122232425262728292A2B2C2D2E2F303233343536'O ("3 1\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '203122232425262728292A2B2C2D2E2F303233343536'O (" 1\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 2 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2507, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2558, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2609, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2660, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2711, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2762, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2813, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2864, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2915, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2966, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3017, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 3068, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_multi-RSL(1034)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1035)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL-IPA(1033): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_multi-RSL(1034): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1035): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_multi finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass'. Mon Jun 24 14:33:27 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12585071) Waiting for packet dumper to finish... 1 (prev_count=12585071, count=12590246) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule ------ Mon Jun 24 14:33:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_schedule started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '28001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 8 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '22101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '33202122232425262728292A2B2C2D2E2F303233343536'O ("3 !\"#$%&'()*+,-./023456") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 3 }, payload := '202122232425262728292A2B2C2D2E2F303233343536'O (" !\"#$%&'()*+,-./023456") } MTC@cf52eee7cfd6: Found block_nr 3 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_schedule-RSL(1037)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1038)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL-IPA(1036): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_schedule-RSL(1037): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1038): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_schedule finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass'. Mon Jun 24 14:33:45 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8501823) Waiting for packet dumper to finish... 1 (prev_count=8501823, count=8502322) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only ------ Mon Jun 24 14:33:49 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_default_only started. TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1205, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1256, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1307, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1358, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1409, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1460, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1511, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1562, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1613, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1664, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1715, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1766, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1817, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1868, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1919, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 1970, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2021, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2072, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2123, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2174, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH4 (25) }, tn := 0 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 2225, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } } MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:673 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":673 TC_sms_cb_cmd_sdcch8_default_only-RSL(1040)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1041)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL-IPA(1039): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_only-RSL(1040): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1041): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_default_only finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass'. Mon Jun 24 14:34:06 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12039936) Waiting for packet dumper to finish... 1 (prev_count=12039936, count=12040435) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal'. ------ BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal ------ Mon Jun 24 14:34:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap" >/data/BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_default_and_normal started. MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 2 }, ma_map := { len := 1, ma := '11000000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 161, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 212, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 263, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 314, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 365, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 416, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '20001000320F1141660C344DD3CBA09A0C000000000000'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 0 }, payload := '001000320F1141660C344DD3CBA09A0C000000000000'O } MTC@cf52eee7cfd6: Found block_nr 0 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 467, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '21000102030405060708090A0B0C0D0E0F101213141516'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 1 }, payload := '000102030405060708090A0B0C0D0E0F101213141516'O } MTC@cf52eee7cfd6: Found block_nr 1 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 518, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '32101112131415161718191A1B1C1D1E1F202223242526'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 2 }, payload := '101112131415161718191A1B1C1D1E1F202223242526'O } MTC@cf52eee7cfd6: Found block_nr 2 of msg 0 MTC@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 569, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 620, rx_level := 8, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 671, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 722, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 773, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 824, rx_level := 16, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 875, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 926, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 977, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1028, rx_level := 24, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1079, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1130, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1181, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1232, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1283, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1334, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1385, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1436, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1487, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1538, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1589, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1640, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1691, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1742, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1793, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1844, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1895, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1946, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 1997, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2048, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=1, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2099, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 1 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=2, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2150, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 2 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=3, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2201, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Found block_nr 3 of DEFAULT/NULL MTC@cf52eee7cfd6: Tb=4, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2252, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=5, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2303, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=6, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2354, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=7, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2405, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '2F2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("/++++++++++++++++++++++") } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := false, seq_nr := 15 }, payload := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++++++") } MTC@cf52eee7cfd6: Tb=0, CBCH: { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_OSMO_CBCH8 (26) }, tn := 2 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := true, uplink := true, spare := '1111'B, arfcn := 1023 }, frame_nr := 2456, rx_level := 30, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '30010203040506070708090A0B0C0D0E0F101112131415'O } } }, block: { block_type := { spare := '0'B, lpd := '01'B, last_block := true, seq_nr := 0 }, payload := '010203040506070708090A0B0C0D0E0F101112131415'O } MTC@cf52eee7cfd6: Found block_nr 0 of DEFAULT/NULL MTC@cf52eee7cfd6: setverdict(pass): pass -> pass reason: ""BTS_Tests_SMSCB.ttcn:417 : "", component reason not changed MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":417 TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043)@cf52eee7cfd6: Final verdict of PTC: none TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1044)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL-IPA(1042): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sms_cb_cmd_sdcch8_default_and_normal-RSL(1043): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1044): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sms_cb_cmd_sdcch8_default_and_normal finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass'. Mon Jun 24 14:34:28 UTC 2024 ====== BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10582937) Waiting for packet dumper to finish... 1 (prev_count=10582937, count=10583436) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle ------ Mon Jun 24 14:34:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_cbc_sdcch8_load_idle started. TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":800 TC_cbc_sdcch8_load_idle-RSL(1046)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1047)@cf52eee7cfd6: Final verdict of PTC: none TC_cbc_sdcch8_load_idle-RSL-IPA(1045)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL-IPA(1045): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch8_load_idle-RSL(1046): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1047): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_cbc_sdcch8_load_idle finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass'. Mon Jun 24 14:34:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7642658) Waiting for packet dumper to finish... 1 (prev_count=7642658, count=7643157) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload'. ------ BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload ------ Mon Jun 24 14:34:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap" >/data/BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_cbc_sdcch8_load_overload started. TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: Re-starting timer T_retransmit, which is already active (running or expired). MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":881 TC_cbc_sdcch8_load_overload-RSL(1049)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1050)@cf52eee7cfd6: Final verdict of PTC: none TC_cbc_sdcch8_load_overload-RSL-IPA(1048)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL-IPA(1048): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_cbc_sdcch8_load_overload-RSL(1049): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1050): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_cbc_sdcch8_load_overload finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass'. Mon Jun 24 14:35:11 UTC 2024 ====== BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=8669440) Waiting for packet dumper to finish... 1 (prev_count=8669440, count=8670376) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro'. ------ BTS_Tests_SMSCB.TC_etws_p1ro ------ Mon Jun 24 14:35:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_etws_p1ro started. TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Quantity of received ETWS PN segments: { 21, 21, 21, 21 } MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1009 TC_etws_p1ro-RSL(1052)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1053)@cf52eee7cfd6: Final verdict of PTC: none TC_etws_p1ro-RSL-IPA(1051)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_p1ro-RSL-IPA(1051): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_p1ro-RSL(1052): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1053): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_etws_p1ro finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass'. Mon Jun 24 14:35:27 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=11901707) Waiting for packet dumper to finish... 1 (prev_count=11901707, count=11902206) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end'. ------ BTS_Tests_SMSCB.TC_etws_p1ro_end ------ Mon Jun 24 14:35:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap" >/data/BTS_Tests_SMSCB.TC_etws_p1ro_end.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_p1ro_end' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_etws_p1ro_end started. TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1051 TC_etws_p1ro_end-RSL(1055)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1056)@cf52eee7cfd6: Final verdict of PTC: none TC_etws_p1ro_end-RSL-IPA(1054)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_p1ro_end-RSL-IPA(1054): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_p1ro_end-RSL(1055): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1056): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_etws_p1ro_end finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass'. Mon Jun 24 14:35:48 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_p1ro_end pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_p1ro_end.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15427251) Waiting for packet dumper to finish... 1 (prev_count=15427251, count=15427750) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_p1ro_end pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu'. ------ BTS_Tests_SMSCB.TC_etws_pcu ------ Mon Jun 24 14:35:52 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap" >/data/BTS_Tests_SMSCB.TC_etws_pcu.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_SMSCB.TC_etws_pcu' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_etws_pcu started. TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_etws_pcu-RSL(1058)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_SMSCB.ttcn":1078 TC_etws_pcu-RSL(1058)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1059)@cf52eee7cfd6: Final verdict of PTC: none TC_etws_pcu-RSL-IPA(1057)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: pass MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_pcu-RSL-IPA(1057): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_etws_pcu-RSL(1058): none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1059): none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_etws_pcu finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass'. Mon Jun 24 14:35:54 UTC 2024 ====== BTS_Tests_SMSCB.TC_etws_pcu pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_SMSCB.TC_etws_pcu.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2010056) Waiting for packet dumper to finish... 1 (prev_count=2010056, count=2010555) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_SMSCB.TC_etws_pcu pass' was executed successfully (exit status: 0). MC@cf52eee7cfd6: Test execution finished. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 ------ Mon Jun 24 14:35:57 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi0 started. TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1064@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1063)@cf52eee7cfd6: setverdict(pass): none -> pass 1064@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1064@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1064@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1064@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1063)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1064@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1063)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1064@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1063)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1065)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1066@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1065)@cf52eee7cfd6: setverdict(pass): none -> pass 1066@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1066@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1066@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1066@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1065)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1066@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1065)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1066@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1065)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1068@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1067)@cf52eee7cfd6: setverdict(pass): none -> pass 1068@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1068@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1068@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1068@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1067)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1068@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1067)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1068@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1067)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0(1069)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1070@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0(1069)@cf52eee7cfd6: setverdict(pass): none -> pass 1070@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1070@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1070@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1070@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0(1069)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1070@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0(1069)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1070@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0(1069)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0-RSL(1061)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1062)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0-RSL-IPA(1060)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL-IPA(1060): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0-RSL(1061): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1062): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1063): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1064: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1065): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1066: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1067): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1068: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0(1069): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1070: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi0 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass'. Mon Jun 24 14:36:01 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3370022) Waiting for packet dumper to finish... 1 (prev_count=3370022, count=3375634) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload ------ Mon Jun 24 14:36:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi0_nopayload started. TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1075@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1074)@cf52eee7cfd6: setverdict(pass): none -> pass 1075@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1075@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1075@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1075@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1074)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1075@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1074)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1075@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1074)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1076)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1077@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1076)@cf52eee7cfd6: setverdict(pass): none -> pass 1077@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1077@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1077@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1077@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1076)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1077@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1076)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1077@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1076)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1079@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1078)@cf52eee7cfd6: setverdict(pass): none -> pass 1079@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1079@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1079@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1079@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1078)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1079@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1078)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1079@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1078)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi0_nopayload": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi0_nopayload(1080)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1081@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi0_nopayload(1080)@cf52eee7cfd6: setverdict(pass): none -> pass 1081@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1081@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1081@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1081@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi0_nopayload(1080)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1081@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi0_nopayload(1080)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1081@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload(1080)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1073)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL-IPA(1071): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload-RSL(1072): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1073): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1074): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1075: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1076): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1077: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1078): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1079: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi0_nopayload(1080): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1081: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi0_nopayload finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass'. Mon Jun 24 14:36:27 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20131114) Waiting for packet dumper to finish... 1 (prev_count=20131114, count=20136289) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 ------ Mon Jun 24 14:36:30 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi3 started. TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1086@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1085)@cf52eee7cfd6: setverdict(pass): none -> pass 1086@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1086@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1086@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1086@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1085)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1086@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1085)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1086@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1085)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1087)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1088@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1087)@cf52eee7cfd6: setverdict(pass): none -> pass 1088@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1088@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1088@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1088@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1087)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1088@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1087)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1088@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1087)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1090@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1089)@cf52eee7cfd6: setverdict(pass): none -> pass 1090@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1090@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1090@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1090@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1089)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1090@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1089)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1090@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1089)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi3": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi3(1091)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1092@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi3(1091)@cf52eee7cfd6: setverdict(pass): none -> pass 1092@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1092@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1092@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1092@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi3(1091)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1092@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi3(1091)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1092@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3(1091)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi3-RSL(1083)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi3-RSL-IPA(1082)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1084)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL-IPA(1082): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3-RSL(1083): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1084): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1085): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1086: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1087): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1088: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1089): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1090: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi3(1091): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1092: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi3 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass'. Mon Jun 24 14:36:53 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20135510) Waiting for packet dumper to finish... 1 (prev_count=20135510, count=20136009) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4'. ------ BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 ------ Mon Jun 24 14:36:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi4 started. TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1097@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1096)@cf52eee7cfd6: setverdict(pass): none -> pass 1097@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1097@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1097@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1097@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1096)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1097@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1096)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1097@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1096)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1098)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1099@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1098)@cf52eee7cfd6: setverdict(pass): none -> pass 1099@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1099@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1099@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1099@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1098)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1099@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1098)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1099@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1098)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1101@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1100)@cf52eee7cfd6: setverdict(pass): none -> pass 1101@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1101@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1101@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1101@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1100)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1101@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1100)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1101@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1100)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_ua_dcch_sapi4": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_ua_dcch_sapi4(1102)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1103@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_ua_dcch_sapi4(1102)@cf52eee7cfd6: setverdict(pass): none -> pass 1103@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1103@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1103@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1103@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_ua_dcch_sapi4(1102)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1103@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_ua_dcch_sapi4(1102)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1103@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4(1102)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_ua_dcch_sapi4-RSL(1094)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_ua_dcch_sapi4-RSL-IPA(1093)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1095)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL-IPA(1093): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4-RSL(1094): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1095): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1096): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1097: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1098): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1099: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1100): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1101: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_ua_dcch_sapi4(1102): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1103: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_ua_dcch_sapi4 finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass'. Mon Jun 24 14:37:19 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20140978) Waiting for packet dumper to finish... 1 (prev_count=20140978, count=20141477) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention'. ------ BTS_Tests_LAPDm.TC_sabm_contention ------ Mon Jun 24 14:37:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_contention.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_contention' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_contention started. TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_contention-RSL(1105)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_contention": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1108@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1107)@cf52eee7cfd6: setverdict(pass): none -> pass 1108@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1108@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1108@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1108@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1108@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1107)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1108@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_contention(1107)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_contention": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1109)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1110@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1109)@cf52eee7cfd6: setverdict(pass): none -> pass 1110@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1110@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1110@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1110@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1110@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1109)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1110@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_contention(1109)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_contention": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1112@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1111)@cf52eee7cfd6: setverdict(pass): none -> pass 1112@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1112@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1112@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1112@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1112@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1111)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1112@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_contention(1111)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_contention": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_contention(1113)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1114@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_contention(1113)@cf52eee7cfd6: setverdict(pass): none -> pass 1114@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1114@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1114@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1114@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_contention(1113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1114@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_contention(1113)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1114@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_contention(1113)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_contention-RSL(1105)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1106)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_contention-RSL-IPA(1104)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention-RSL-IPA(1104): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention-RSL(1105): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1106): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention(1107): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1108: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention(1109): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1110: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention(1111): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1112: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_contention(1113): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1114: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_contention finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass'. Mon Jun 24 14:37:45 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_contention pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_contention.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20145000) Waiting for packet dumper to finish... 1 (prev_count=20145000, count=20145499) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_contention pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit ------ Mon Jun 24 14:37:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_retransmit started. TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_retransmit": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1119@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1118)@cf52eee7cfd6: setverdict(pass): none -> pass 1119@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1119@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1119@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1119@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1119@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1118)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1119@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit(1118)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1120)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1121@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1120)@cf52eee7cfd6: setverdict(pass): none -> pass 1121@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1121@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1121@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1121@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1121@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1120)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1121@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit(1120)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1123@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1122)@cf52eee7cfd6: setverdict(pass): none -> pass 1123@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1123@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1123@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1123@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1123@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1122)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1123@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit(1122)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit(1124)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1125@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit(1124)@cf52eee7cfd6: setverdict(pass): none -> pass 1125@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1125@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1125@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1125@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit(1124)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1125@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit(1124)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1125@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit(1124)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit-RSL(1116)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1117)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit-RSL-IPA(1115)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit-RSL-IPA(1115): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit-RSL(1116): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1117): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit(1118): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1119: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit(1120): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1121: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit(1122): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1123: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit(1124): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1125: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_retransmit finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass'. Mon Jun 24 14:37:52 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3837170) Waiting for packet dumper to finish... 1 (prev_count=3837170, count=3837669) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts'. ------ BTS_Tests_LAPDm.TC_sabm_retransmit_bts ------ Mon Jun 24 14:37:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_retransmit_bts.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_retransmit_bts started. TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_retransmit_bts": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1130@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1129)@cf52eee7cfd6: setverdict(pass): none -> pass 1130@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1130@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1130@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1130@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1129)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1130@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1129)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1130@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit_bts(1129)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit_bts": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1131)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1132@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1131)@cf52eee7cfd6: setverdict(pass): none -> pass 1132@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1132@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1132@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1132@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1131)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1132@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1131)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1132@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit_bts(1131)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1134@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1133)@cf52eee7cfd6: setverdict(pass): none -> pass 1134@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1134@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1134@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1134@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1133)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1134@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1133)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1134@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit_bts(1133)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_retransmit_bts": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_retransmit_bts(1135)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1136@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_retransmit_bts(1135)@cf52eee7cfd6: setverdict(pass): none -> pass 1136@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1136@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1136@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1136@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_retransmit_bts(1135)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 6 SABM", component reason not changed 1136@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_retransmit_bts(1135)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1136@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit_bts(1135)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_retransmit_bts-RSL(1127)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_retransmit_bts-RSL-IPA(1126)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1128)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts-RSL-IPA(1126): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts-RSL(1127): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1128): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts(1129): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1130: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts(1131): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1132: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts(1133): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1134: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_retransmit_bts(1135): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1136: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_retransmit_bts finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass'. Mon Jun 24 14:39:18 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_retransmit_bts.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=74024607) Waiting for packet dumper to finish... 1 (prev_count=74024607, count=74025106) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp'. ------ BTS_Tests_LAPDm.TC_sabm_invalid_resp ------ Mon Jun 24 14:39:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_invalid_resp.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_invalid_resp started. TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_invalid_resp": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1141@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): none -> pass 1141@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1141@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1141@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1141@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1141@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1141@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1142@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1142@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1142@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1142@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1142@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1142@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1142@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1143@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1143@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1143@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1143@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1143@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1143@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1140)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1143@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1140)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_invalid_resp": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1144)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1145@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): none -> pass 1145@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1145@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1145@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1145@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1145@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1145@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1146@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1146@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1146@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1146@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1146@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1146@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1146@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1147@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1147@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1147@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1147@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1147@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1147@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1144)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1147@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1144)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1149@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): none -> pass 1149@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1149@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1149@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1149@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1149@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1149@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1150@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1150@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1150@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1150@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1150@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1150@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1150@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1151@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1151@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1151@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1151@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1151@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1151@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1148)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1151@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1148)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_invalid_resp": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1152)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1153@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): none -> pass 1153@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1153@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1153@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1153@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1153@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1153@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1152)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1154@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1154@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1154@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1154@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1154@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1154@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1154@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1152)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1155@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) 1155@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1155@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1155@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1155@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1155@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_invalid_resp(1152)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1155@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp(1152)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_invalid_resp-RSL(1138)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_invalid_resp-RSL-IPA(1137)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1139)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp-RSL-IPA(1137): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp-RSL(1138): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1139): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp(1140): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1141: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1142: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1143: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp(1144): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1145: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1146: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1147: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp(1148): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1149: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1150: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1151: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_invalid_resp(1152): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1153: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1154: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1155: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_invalid_resp finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass'. Mon Jun 24 14:39:47 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_invalid_resp pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_invalid_resp.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18374317) Waiting for packet dumper to finish... 1 (prev_count=18374317, count=18379492) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_invalid_resp pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm'. ------ BTS_Tests_LAPDm.TC_sabm_dm ------ Mon Jun 24 14:39:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_dm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_dm' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_dm started. TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_dm-RSL(1157)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_dm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1160@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1159)@cf52eee7cfd6: setverdict(pass): none -> pass 1160@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1160@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1160@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1160@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1160@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1159)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1160@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_dm(1159)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_dm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1161)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1162@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1161)@cf52eee7cfd6: setverdict(pass): none -> pass 1162@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1162@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1162@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1162@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1162@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1161)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1162@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_dm(1161)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_dm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1164@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1163)@cf52eee7cfd6: setverdict(pass): none -> pass 1164@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1164@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1164@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1164@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1164@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1163)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1164@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_dm(1163)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_dm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_dm(1165)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1166@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_dm(1165)@cf52eee7cfd6: setverdict(pass): none -> pass 1166@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1166@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1166@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1166@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_dm(1165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1166@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_dm(1165)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1166@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_dm(1165)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_sabm_dm-RSL(1157)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_dm-RSL-IPA(1156)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1158)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm-RSL-IPA(1156): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm-RSL(1157): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1158): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm(1159): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1160: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm(1161): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1162: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm(1163): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1164: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_dm(1165): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1166: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_sabm_dm finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass'. Mon Jun 24 14:40:05 UTC 2024 ====== BTS_Tests_LAPDm.TC_sabm_dm pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_dm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=13449781) Waiting for packet dumper to finish... 1 (prev_count=13449781, count=13450280) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_dm pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm'. ------ BTS_Tests_LAPDm.TC_establish_ign_first_sabm ------ Mon Jun 24 14:40:09 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap" >/data/BTS_Tests_LAPDm.TC_establish_ign_first_sabm.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_establish_ign_first_sabm started. TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_establish_ign_first_sabm": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1171@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1170)@cf52eee7cfd6: setverdict(pass): none -> pass 1171@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1171@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1171@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1171@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1170)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1171@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1170)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1171@cf52eee7cfd6: Final verdict of PTC: none TC_establish_ign_first_sabm(1170)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_establish_ign_first_sabm": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1172)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1173@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1172)@cf52eee7cfd6: setverdict(pass): none -> pass 1173@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1173@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1173@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1173@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1172)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1173@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1172)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1173@cf52eee7cfd6: Final verdict of PTC: none TC_establish_ign_first_sabm(1172)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1175@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1174)@cf52eee7cfd6: setverdict(pass): none -> pass 1175@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1175@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1175@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1175@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1174)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1175@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1174)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1175@cf52eee7cfd6: Final verdict of PTC: none TC_establish_ign_first_sabm(1174)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_establish_ign_first_sabm": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_establish_ign_first_sabm(1176)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1177@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_establish_ign_first_sabm(1176)@cf52eee7cfd6: setverdict(pass): none -> pass 1177@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1177@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1177@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1177@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_establish_ign_first_sabm(1176)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1177@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_establish_ign_first_sabm(1176)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1177@cf52eee7cfd6: Final verdict of PTC: none TC_establish_ign_first_sabm(1176)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_establish_ign_first_sabm-RSL(1168)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1169)@cf52eee7cfd6: Final verdict of PTC: none TC_establish_ign_first_sabm-RSL-IPA(1167)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm-RSL-IPA(1167): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm-RSL(1168): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1169): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm(1170): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1171: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm(1172): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1173: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm(1174): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1175: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_establish_ign_first_sabm(1176): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1177: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_establish_ign_first_sabm finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass'. Mon Jun 24 14:40:18 UTC 2024 ====== BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_establish_ign_first_sabm.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=7885924) Waiting for packet dumper to finish... 1 (prev_count=7885924, count=7886423) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack'. ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack ------ Mon Jun 24 14:40:21 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_seq_and_ack.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_iframe_seq_and_ack started. TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_iframe_seq_and_ack": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1182@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: setverdict(pass): none -> pass 1182@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1182@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1182@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1182@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 0 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 1 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 2 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 3 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 4 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 5 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 6 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 7 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 8 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Starting iteration 9 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Completed iteration 1182@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1182@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_seq_and_ack(1181)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_seq_and_ack": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1184@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: setverdict(pass): none -> pass 1184@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1184@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1184@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1184@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 0 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 1 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 2 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 3 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 4 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 5 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 6 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 7 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 8 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Starting iteration 9 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Completed iteration 1184@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1184@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_seq_and_ack(1183)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1186@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: setverdict(pass): none -> pass 1186@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1186@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1186@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1186@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 0 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 1 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 1 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 2 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 2 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 3 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 3 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 4 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 4 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 5 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 5 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 6 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 6 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 7 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 7 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 8 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 8 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Starting iteration 9 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Ignoring RR in iteration 9 TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Completed iteration 1186@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1186@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_seq_and_ack(1185)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_seq_and_ack": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1188@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: setverdict(pass): none -> pass 1188@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1188@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1188@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1188@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Starting iteration 0 TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Starting iteration 1 TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND ", new component reason: "Received RSL ERROR IND " TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Starting iteration 2 TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 1187 has requested to stop MTC. Terminating current testcase execution. TC_iframe_seq_and_ack-RSL(1179)@cf52eee7cfd6: Final verdict of PTC: none 1188@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1180)@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_seq_and_ack-RSL-IPA(1178)@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_seq_and_ack(1187)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received RSL ERROR IND " MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack-RSL-IPA(1178): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack-RSL(1179): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1180): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack(1181): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1182: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack(1183): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1184: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack(1185): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1186: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_seq_and_ack(1187): fail (pass -> fail) reason: "Received RSL ERROR IND " MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1188: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_iframe_seq_and_ack finished. Verdict: fail reason: Received RSL ERROR IND MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail'. Mon Jun 24 14:40:52 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_iframe_seq_and_ack.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24550161) Waiting for packet dumper to finish... 1 (prev_count=24550161, count=24555336) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_seq_and_ack fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery'. ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery ------ Mon Jun 24 14:40:56 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap" >/data/BTS_Tests_LAPDm.TC_iframe_timer_recovery.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_iframe_timer_recovery started. TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_iframe_timer_recovery": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1193@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1192)@cf52eee7cfd6: setverdict(pass): none -> pass 1193@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1193@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1193@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1193@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1193@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1192)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1193@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_timer_recovery(1192)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_timer_recovery": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1194)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1195@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1194)@cf52eee7cfd6: setverdict(pass): none -> pass 1195@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1195@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1195@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1195@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1195@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1194)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1195@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_timer_recovery(1194)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1197@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1196)@cf52eee7cfd6: setverdict(pass): none -> pass 1197@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1197@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1197@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1197@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1197@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_iframe_timer_recovery(1196)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1197@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_timer_recovery(1196)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_iframe_timer_recovery": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_iframe_timer_recovery(1198)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1199@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_iframe_timer_recovery(1198)@cf52eee7cfd6: setverdict(pass): none -> pass 1199@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1199@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1199@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1199@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_iframe_timer_recovery(1198)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Received RSL ERROR IND ", new component reason: "Received RSL ERROR IND " TC_iframe_timer_recovery(1198)@cf52eee7cfd6: setverdict(fail): fail -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", component reason not changed TC_iframe_timer_recovery(1198)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 1198 has requested to stop MTC. Terminating current testcase execution. TC_iframe_timer_recovery-RSL(1190)@cf52eee7cfd6: Final verdict of PTC: none 1199@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1191)@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_timer_recovery-RSL-IPA(1189)@cf52eee7cfd6: Final verdict of PTC: none TC_iframe_timer_recovery(1198)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Received RSL ERROR IND " MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery-RSL-IPA(1189): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery-RSL(1190): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1191): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery(1192): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1193: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery(1194): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1195: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery(1196): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1197: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_iframe_timer_recovery(1198): fail (pass -> fail) reason: "Received RSL ERROR IND " MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1199: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_iframe_timer_recovery finished. Verdict: fail reason: Received RSL ERROR IND MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail'. Mon Jun 24 14:41:21 UTC 2024 ------ BTS_Tests_LAPDm.TC_iframe_timer_recovery fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_iframe_timer_recovery.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=20005263) Waiting for packet dumper to finish... 1 (prev_count=20005263, count=20010875) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_iframe_timer_recovery fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error'. ------ BTS_Tests_LAPDm.TC_ns_seq_error ------ Mon Jun 24 14:41:25 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_ns_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_ns_seq_error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_ns_seq_error started. TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_ns_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1204@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1203)@cf52eee7cfd6: setverdict(pass): none -> pass 1204@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1204@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1204@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1204@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1203)@cf52eee7cfd6: Warning: Re-starting timer T1, which is already active (running or expired). 1204@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1204@cf52eee7cfd6: Final verdict of PTC: none TC_ns_seq_error(1203)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1203)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_ns_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1205)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1206@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1205)@cf52eee7cfd6: setverdict(pass): none -> pass 1206@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1206@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1206@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1206@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1205)@cf52eee7cfd6: Warning: Re-starting timer T1, which is already active (running or expired). 1206@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1206@cf52eee7cfd6: Final verdict of PTC: none TC_ns_seq_error(1205)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1205)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_ns_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1208@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1207)@cf52eee7cfd6: setverdict(pass): none -> pass 1208@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1208@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1208@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1208@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1207)@cf52eee7cfd6: Warning: Re-starting timer T1, which is already active (running or expired). 1208@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_ns_seq_error(1207)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1208@cf52eee7cfd6: Final verdict of PTC: none TC_ns_seq_error(1207)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_ns_seq_error(1207)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_ns_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_ns_seq_error(1209)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1210@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_ns_seq_error(1209)@cf52eee7cfd6: setverdict(pass): none -> pass 1210@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1210@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1210@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1210@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_ns_seq_error(1209)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_ns_seq_error(1209)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 1209 has requested to stop MTC. Terminating current testcase execution. TC_ns_seq_error-RSL(1201)@cf52eee7cfd6: Final verdict of PTC: none 1210@cf52eee7cfd6: Final verdict of PTC: none TC_ns_seq_error-RSL-IPA(1200)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1202)@cf52eee7cfd6: Final verdict of PTC: none TC_ns_seq_error(1209)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error-RSL-IPA(1200): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error-RSL(1201): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1202): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error(1203): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1204: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error(1205): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1206: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error(1207): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1208: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_ns_seq_error(1209): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1210: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_ns_seq_error finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail'. Mon Jun 24 14:41:56 UTC 2024 ------ BTS_Tests_LAPDm.TC_ns_seq_error fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_ns_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=24734145) Waiting for packet dumper to finish... 1 (prev_count=24734145, count=24734772) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_ns_seq_error fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error'. ------ BTS_Tests_LAPDm.TC_nr_seq_error ------ Mon Jun 24 14:41:59 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap" >/data/BTS_Tests_LAPDm.TC_nr_seq_error.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_nr_seq_error' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_nr_seq_error started. TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_nr_seq_error": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1215@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1214)@cf52eee7cfd6: setverdict(pass): none -> pass 1215@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1215@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1215@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1215@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1215@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1214)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1215@cf52eee7cfd6: Final verdict of PTC: none TC_nr_seq_error(1214)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1214)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_nr_seq_error": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1216)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1217@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1216)@cf52eee7cfd6: setverdict(pass): none -> pass 1217@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1217@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1217@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1217@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1217@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1216)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1217@cf52eee7cfd6: Final verdict of PTC: none TC_nr_seq_error(1216)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1216)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_nr_seq_error": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1219@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1218)@cf52eee7cfd6: setverdict(pass): none -> pass 1219@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1219@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1219@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1219@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1219@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1218)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1219@cf52eee7cfd6: Final verdict of PTC: none TC_nr_seq_error(1218)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1218)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_nr_seq_error": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_nr_seq_error(1220)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1221@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_nr_seq_error(1220)@cf52eee7cfd6: setverdict(pass): none -> pass 1221@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1221@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1221@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1221@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1221@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_nr_seq_error(1220)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1221@cf52eee7cfd6: Final verdict of PTC: none TC_nr_seq_error(1220)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_nr_seq_error(1220)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_nr_seq_error-RSL(1212)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1213)@cf52eee7cfd6: Final verdict of PTC: none TC_nr_seq_error-RSL-IPA(1211)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error-RSL-IPA(1211): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error-RSL(1212): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1213): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error(1214): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1215: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error(1216): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1217: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error(1218): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1219: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_nr_seq_error(1220): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1221: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_nr_seq_error finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass'. Mon Jun 24 14:42:03 UTC 2024 ====== BTS_Tests_LAPDm.TC_nr_seq_error pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_nr_seq_error.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3660182) Waiting for packet dumper to finish... 1 (prev_count=3660182, count=3660681) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_nr_seq_error pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame'. ------ BTS_Tests_LAPDm.TC_rec_invalid_frame ------ Mon Jun 24 14:42:06 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap" >/data/BTS_Tests_LAPDm.TC_rec_invalid_frame.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rec_invalid_frame' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rec_invalid_frame started. TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rec_invalid_frame": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1226@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1225)@cf52eee7cfd6: setverdict(pass): none -> pass 1226@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1226@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1226@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1226@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1226@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1225)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1226@cf52eee7cfd6: Final verdict of PTC: none TC_rec_invalid_frame(1225)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1225)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rec_invalid_frame": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1227)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1228@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1227)@cf52eee7cfd6: setverdict(pass): none -> pass 1228@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1228@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1228@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1228@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1228@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1227)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1228@cf52eee7cfd6: Final verdict of PTC: none TC_rec_invalid_frame(1227)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1227)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1230@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1229)@cf52eee7cfd6: setverdict(pass): none -> pass 1230@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1230@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1230@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1230@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1230@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rec_invalid_frame(1229)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1230@cf52eee7cfd6: Final verdict of PTC: none TC_rec_invalid_frame(1229)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_rec_invalid_frame(1229)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rec_invalid_frame": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rec_invalid_frame(1231)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1232@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rec_invalid_frame(1231)@cf52eee7cfd6: setverdict(pass): none -> pass 1232@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1232@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1232@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1232@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rec_invalid_frame(1231)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"", new component reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" TC_rec_invalid_frame(1231)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":909 MC@cf52eee7cfd6: Test Component 1231 has requested to stop MTC. Terminating current testcase execution. TC_rec_invalid_frame-RSL(1223)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1224)@cf52eee7cfd6: Final verdict of PTC: none TC_rec_invalid_frame-RSL-IPA(1222)@cf52eee7cfd6: Final verdict of PTC: none 1232@cf52eee7cfd6: Final verdict of PTC: none TC_rec_invalid_frame(1231)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame-RSL-IPA(1222): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame-RSL(1223): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1224): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame(1225): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1226: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame(1227): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1228: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame(1229): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1230: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rec_invalid_frame(1231): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1232: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_rec_invalid_frame finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail'. Mon Jun 24 14:44:09 UTC 2024 ------ BTS_Tests_LAPDm.TC_rec_invalid_frame fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_rec_invalid_frame.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=112202595) Waiting for packet dumper to finish... 1 (prev_count=112202595, count=112203094) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rec_invalid_frame fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch'. ------ BTS_Tests_LAPDm.TC_segm_concat_dcch ------ Mon Jun 24 14:44:14 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_dcch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_dcch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_segm_concat_dcch started. TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_segm_concat_dcch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1237@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1236)@cf52eee7cfd6: setverdict(pass): none -> pass 1237@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1237@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1237@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1237@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1236)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1237@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1236)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1237@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_dcch(1236)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_dcch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1238)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1239@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1238)@cf52eee7cfd6: setverdict(pass): none -> pass 1239@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1239@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1239@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1239@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1238)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1239@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1238)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1239@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_dcch(1238)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1241@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1240)@cf52eee7cfd6: setverdict(pass): none -> pass 1241@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1241@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1241@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1241@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1240)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1241@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_dcch(1240)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1241@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_dcch(1240)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_dcch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_dcch(1242)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1243@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_dcch(1242)@cf52eee7cfd6: setverdict(pass): none -> pass 1243@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1243@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1243@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1243@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_dcch(1242)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch(1242)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@cf52eee7cfd6: Test Component 1242 has requested to stop MTC. Terminating current testcase execution. TC_segm_concat_dcch(1242)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_segm_concat_dcch-RSL-IPA(1233)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1235)@cf52eee7cfd6: Final verdict of PTC: none 1243@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_dcch-RSL(1234)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch-RSL-IPA(1233): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch-RSL(1234): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1235): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch(1236): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1237: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch(1238): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1239: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch(1240): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1241: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_dcch(1242): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1243: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_segm_concat_dcch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail'. Mon Jun 24 14:44:28 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_dcch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_dcch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=10815331) Waiting for packet dumper to finish... 1 (prev_count=10815331, count=10815830) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_dcch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch'. ------ BTS_Tests_LAPDm.TC_segm_concat_sacch ------ Mon Jun 24 14:44:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap" >/data/BTS_Tests_LAPDm.TC_segm_concat_sacch.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_segm_concat_sacch' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_segm_concat_sacch started. TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_segm_concat_sacch": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1248@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1247)@cf52eee7cfd6: setverdict(pass): none -> pass 1248@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1248@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1248@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1248@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1247)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1248@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1247)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1248@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch(1247)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_sacch": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1249)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1250@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1249)@cf52eee7cfd6: setverdict(pass): none -> pass 1250@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1250@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1250@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1250@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1249)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1250@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1249)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1250@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch(1249)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1252@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1251)@cf52eee7cfd6: setverdict(pass): none -> pass 1252@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1252@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1252@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1252@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1251)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1252@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_segm_concat_sacch(1251)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1252@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch(1251)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_segm_concat_sacch": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_segm_concat_sacch(1253)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1254@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_segm_concat_sacch(1253)@cf52eee7cfd6: setverdict(pass): none -> pass 1254@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1254@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1254@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1254@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_segm_concat_sacch(1253)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" TC_segm_concat_sacch(1253)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@cf52eee7cfd6: Test Component 1253 has requested to stop MTC. Terminating current testcase execution. 1254@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch(1253)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" IPA-CTRL-CLI-IPA(1246)@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch-RSL(1245)@cf52eee7cfd6: Final verdict of PTC: none TC_segm_concat_sacch-RSL-IPA(1244)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch-RSL-IPA(1244): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch-RSL(1245): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1246): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch(1247): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1248: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch(1249): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1250: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch(1251): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1252: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_segm_concat_sacch(1253): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1254: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_segm_concat_sacch finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail'. Mon Jun 24 14:45:22 UTC 2024 ------ BTS_Tests_LAPDm.TC_segm_concat_sacch fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_segm_concat_sacch.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=46809027) Waiting for packet dumper to finish... 1 (prev_count=46809027, count=46814202) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_segm_concat_sacch fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200'. ------ BTS_Tests_LAPDm.TC_t200_n200 ------ Mon Jun 24 14:45:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_t200_n200.pcap" >/data/BTS_Tests_LAPDm.TC_t200_n200.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_t200_n200' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_t200_n200 started. TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1256)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1256)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1256)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL(1256)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1256)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_t200_n200-RSL(1256)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1256)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_t200_n200-RSL(1256)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1256)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_t200_n200-RSL(1256)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_t200_n200-RSL(1256)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_t200_n200-RSL(1256)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1256)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_t200_n200-RSL(1256)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_t200_n200-RSL(1256)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_t200_n200-RSL(1256)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_t200_n200": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1259@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1258)@cf52eee7cfd6: setverdict(pass): none -> pass 1259@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1259@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1259@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1259@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1258)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1259@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1258)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1259@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200(1258)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_t200_n200": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1260)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1261@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1260)@cf52eee7cfd6: setverdict(pass): none -> pass 1261@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1261@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1261@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1261@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1260)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1261@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1260)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1261@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200(1260)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_t200_n200": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1263@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1262)@cf52eee7cfd6: setverdict(pass): none -> pass 1263@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1263@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1263@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1263@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1262)@cf52eee7cfd6: setverdict(pass): pass -> pass reason: "Received 5 on channel { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } link { c := SACCH (1), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }", component reason not changed 1263@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_t200_n200(1262)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1263@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200(1262)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_t200_n200": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_t200_n200(1264)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1265@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_t200_n200(1264)@cf52eee7cfd6: setverdict(pass): none -> pass 1265@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1265@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1265@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1265@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_t200_n200(1264)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Missing RSL RLL ERROR INDICATION", new component reason: "Missing RSL RLL ERROR INDICATION" 1265@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1265@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200(1264)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Missing RSL RLL ERROR INDICATION" MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 IPA-CTRL-CLI-IPA(1257)@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200-RSL(1256)@cf52eee7cfd6: Final verdict of PTC: none TC_t200_n200-RSL-IPA(1255)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200-RSL-IPA(1255): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200-RSL(1256): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1257): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200(1258): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1259: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200(1260): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1261: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200(1262): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1263: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_t200_n200(1264): fail (pass -> fail) reason: "Missing RSL RLL ERROR INDICATION" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1265: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_t200_n200 finished. Verdict: fail reason: Missing RSL RLL ERROR INDICATION MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail'. Mon Jun 24 14:46:07 UTC 2024 ------ BTS_Tests_LAPDm.TC_t200_n200 fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_t200_n200.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=37027372) Waiting for packet dumper to finish... 1 (prev_count=37027372, count=37032547) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_t200_n200 fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss'. ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss ------ Mon Jun 24 14:46:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap" >/data/BTS_Tests_LAPDm.TC_rr_response_frame_loss.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_rr_response_frame_loss started. TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_rr_response_frame_loss": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1270@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1269)@cf52eee7cfd6: setverdict(pass): none -> pass 1270@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1270@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1270@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1270@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1269)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1270@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1269)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1270@cf52eee7cfd6: Final verdict of PTC: none TC_rr_response_frame_loss(1269)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rr_response_frame_loss": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1271)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1272@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1271)@cf52eee7cfd6: setverdict(pass): none -> pass 1272@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1272@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1272@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1272@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1271)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1272@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1271)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1272@cf52eee7cfd6: Final verdict of PTC: none TC_rr_response_frame_loss(1271)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1274@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1273)@cf52eee7cfd6: setverdict(pass): none -> pass 1274@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1274@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1274@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1274@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1273)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1274@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_rr_response_frame_loss(1273)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1274@cf52eee7cfd6: Final verdict of PTC: none TC_rr_response_frame_loss(1273)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_rr_response_frame_loss": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_rr_response_frame_loss(1275)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1276@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_rr_response_frame_loss(1275)@cf52eee7cfd6: setverdict(pass): none -> pass 1276@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1276@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1276@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1276@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_rr_response_frame_loss(1275)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for RR or REJ", new component reason: "Timeout waiting for RR or REJ" 1276@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) 1276@cf52eee7cfd6: Final verdict of PTC: none TC_rr_response_frame_loss(1275)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for RR or REJ" MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_rr_response_frame_loss-RSL(1267)@cf52eee7cfd6: Final verdict of PTC: none TC_rr_response_frame_loss-RSL-IPA(1266)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1268)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss-RSL-IPA(1266): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss-RSL(1267): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1268): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss(1269): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1270: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss(1271): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1272: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss(1273): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1274: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_rr_response_frame_loss(1275): fail (pass -> fail) reason: "Timeout waiting for RR or REJ" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1276: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_rr_response_frame_loss finished. Verdict: fail reason: Timeout waiting for RR or REJ MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail'. Mon Jun 24 14:46:18 UTC 2024 ------ BTS_Tests_LAPDm.TC_rr_response_frame_loss fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_rr_response_frame_loss.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6612136) Waiting for packet dumper to finish... 1 (prev_count=6612136, count=6612635) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_rr_response_frame_loss fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr'. ------ BTS_Tests_LAPDm.TC_incorrect_cr ------ Mon Jun 24 14:46:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap" >/data/BTS_Tests_LAPDm.TC_incorrect_cr.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_incorrect_cr' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_incorrect_cr started. TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_incorrect_cr": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1281@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1280)@cf52eee7cfd6: setverdict(pass): none -> pass 1281@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1281@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1281@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1281@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1281@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1280)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1281@cf52eee7cfd6: Final verdict of PTC: none TC_incorrect_cr(1280)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_incorrect_cr": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1282)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1283@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1282)@cf52eee7cfd6: setverdict(pass): none -> pass 1283@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1283@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1283@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1283@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1283@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1282)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1283@cf52eee7cfd6: Final verdict of PTC: none TC_incorrect_cr(1282)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_incorrect_cr": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1285@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1284)@cf52eee7cfd6: setverdict(pass): none -> pass 1285@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1285@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1285@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1285@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1285@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1284)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1285@cf52eee7cfd6: Final verdict of PTC: none TC_incorrect_cr(1284)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_incorrect_cr": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_incorrect_cr(1286)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1287@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_incorrect_cr(1286)@cf52eee7cfd6: setverdict(pass): none -> pass 1287@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1287@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1287@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1287@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1287@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_incorrect_cr(1286)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1287@cf52eee7cfd6: Final verdict of PTC: none TC_incorrect_cr(1286)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":1146 TC_incorrect_cr-RSL(1278)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1279)@cf52eee7cfd6: Final verdict of PTC: none TC_incorrect_cr-RSL-IPA(1277)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr-RSL-IPA(1277): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr-RSL(1278): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1279): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr(1280): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1281: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr(1282): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1283: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr(1284): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1285: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_incorrect_cr(1286): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1287: none (pass -> pass) MTC@cf52eee7cfd6: Test case TC_incorrect_cr finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass'. Mon Jun 24 14:46:39 UTC 2024 ====== BTS_Tests_LAPDm.TC_incorrect_cr pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_incorrect_cr.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=15039937) Waiting for packet dumper to finish... 1 (prev_count=15039937, count=15040436) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_incorrect_cr pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c'. ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c ------ Mon Jun 24 14:46:42 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap" >/data/BTS_Tests_LAPDm.TC_sabm_incorrect_c.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_sabm_incorrect_c started. TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_sabm_incorrect_c": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1292@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1291)@cf52eee7cfd6: setverdict(pass): none -> pass 1292@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1292@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1292@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1292@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1292@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1291)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1292@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_incorrect_c(1291)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_incorrect_c": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1293)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1294@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1293)@cf52eee7cfd6: setverdict(pass): none -> pass 1294@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1294@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1294@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1294@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1294@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1293)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1294@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_incorrect_c(1293)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1296@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1295)@cf52eee7cfd6: setverdict(pass): none -> pass 1296@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1296@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1296@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1296@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) 1296@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_sabm_incorrect_c(1295)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1296@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_incorrect_c(1295)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_sabm_incorrect_c": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_sabm_incorrect_c(1297)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1298@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_sabm_incorrect_c(1297)@cf52eee7cfd6: setverdict(pass): none -> pass 1298@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1298@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1298@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1298@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_sabm_incorrect_c(1297)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"", new component reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" TC_sabm_incorrect_c(1297)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":727 MC@cf52eee7cfd6: Test Component 1297 has requested to stop MTC. Terminating current testcase execution. TC_sabm_incorrect_c-RSL(1289)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1290)@cf52eee7cfd6: Final verdict of PTC: none 1298@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_incorrect_c-RSL-IPA(1288)@cf52eee7cfd6: Final verdict of PTC: none TC_sabm_incorrect_c(1297)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c-RSL-IPA(1288): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c-RSL(1289): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1290): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c(1291): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1292: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c(1293): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1294: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c(1295): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1296: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_sabm_incorrect_c(1297): fail (pass -> fail) reason: ""BTS_Tests.ttcn:727 : Tguard timeout"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1298: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_sabm_incorrect_c finished. Verdict: fail reason: "BTS_Tests.ttcn:727 : Tguard timeout" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail'. Mon Jun 24 14:47:06 UTC 2024 ------ BTS_Tests_LAPDm.TC_sabm_incorrect_c fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_sabm_incorrect_c.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=18387551) Waiting for packet dumper to finish... 1 (prev_count=18387551, count=18388050) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_sabm_incorrect_c fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment ------ Mon Jun 24 14:47:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_normal_reestablishment started. TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_normal_reestablishment": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1303@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1302)@cf52eee7cfd6: setverdict(pass): none -> pass 1303@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1303@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1303@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1303@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1302)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1302)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1303@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1302)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1303@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment(1302)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1304)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1305@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1304)@cf52eee7cfd6: setverdict(pass): none -> pass 1305@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1305@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1305@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1305@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1304)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1304)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1305@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1304)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1305@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment(1304)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1307@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1306)@cf52eee7cfd6: setverdict(pass): none -> pass 1307@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1307@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1307@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1307@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1306)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_normal_reestablishment(1306)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1307@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment(1306)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1307@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment(1306)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment(1308)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1309@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment(1308)@cf52eee7cfd6: setverdict(pass): none -> pass 1309@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1309@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1309@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1309@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment(1308)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" TC_normal_reestablishment(1308)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@cf52eee7cfd6: Test Component 1308 has requested to stop MTC. Terminating current testcase execution. TC_normal_reestablishment(1308)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" TC_normal_reestablishment-RSL(1300)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1301)@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment-RSL-IPA(1299)@cf52eee7cfd6: Final verdict of PTC: none 1309@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment-RSL-IPA(1299): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment-RSL(1300): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1301): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment(1302): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1303: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment(1304): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1305: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment(1306): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1307: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment(1308): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1309: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_normal_reestablishment finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail'. Mon Jun 24 14:47:27 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=16035591) Waiting for packet dumper to finish... 1 (prev_count=16035591, count=16040766) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked'. ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked ------ Mon Jun 24 14:47:31 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap" >/data/BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_normal_reestablishment_state_unacked started. TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } 1314@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1313)@cf52eee7cfd6: setverdict(pass): none -> pass 1314@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1314@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1314@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1314@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1313)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1314@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1313)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1314@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1313)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { lm := { tag := '0001'B, sub_chan := 1 } }, tn := 5 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1315)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1316@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1315)@cf52eee7cfd6: setverdict(pass): none -> pass 1316@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1316@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1316@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1316@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1315)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1316@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1315)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1316@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1315)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch4 := { tag := '001'B, sub_chan := 2 } }, tn := 0 } 1318@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1317)@cf52eee7cfd6: setverdict(pass): none -> pass 1318@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1318@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1318@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1318@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1317)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1318@cf52eee7cfd6: PH-STATE PH_STATE_DCH (4) -> PH_STATE_BCH (1) TC_normal_reestablishment_state_unacked(1317)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed 1318@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1317)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: "TC_normal_reestablishment_state_unacked": XXX Starting on { u := { sdcch8 := { tag := '01'B, sub_chan := 4 } }, tn := 6 } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_normal_reestablishment_state_unacked(1319)@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 1, hsn := 6 }, ma_map := { len := 1, ma := '10010000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } 1320@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_NULL (0) TC_normal_reestablishment_state_unacked(1319)@cf52eee7cfd6: setverdict(pass): none -> pass 1320@cf52eee7cfd6: PH-STATE PH_STATE_NULL (0) -> PH_STATE_SEARCHING_BCH (2) 1320@cf52eee7cfd6: PH-STATE PH_STATE_SEARCHING_BCH (2) -> PH_STATE_BCH (1) 1320@cf52eee7cfd6: PH-STATE PH_STATE_BCH (1) -> PH_STATE_TUNING_DCH (3) 1320@cf52eee7cfd6: PH-STATE PH_STATE_TUNING_DCH (3) -> PH_STATE_DCH (4) TC_normal_reestablishment_state_unacked(1319)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"", new component reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_normal_reestablishment_state_unacked(1319)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_LAPDm.ttcn":1023 MC@cf52eee7cfd6: Test Component 1319 has requested to stop MTC. Terminating current testcase execution. 1320@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment_state_unacked(1319)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" TC_normal_reestablishment_state_unacked-RSL(1311)@cf52eee7cfd6: Final verdict of PTC: none TC_normal_reestablishment_state_unacked-RSL-IPA(1310)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1312)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL-IPA(1310): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked-RSL(1311): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1312): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked(1313): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1314: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked(1315): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1316: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked(1317): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1318: none (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_normal_reestablishment_state_unacked(1319): fail (pass -> fail) reason: ""BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }"" MTC@cf52eee7cfd6: Local verdict of PTC with component reference 1320: none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_normal_reestablishment_state_unacked finished. Verdict: fail reason: "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail'. Mon Jun 24 14:47:44 UTC 2024 ------ BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=12216433) Waiting for packet dumper to finish... 1 (prev_count=12216433, count=12216932) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked fail' was executed successfully (exit status: 0). MC@cf52eee7cfd6: Test execution finished. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vff ------ Mon Jun 24 14:47:48 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_vff started. TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vff(1324)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1325)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1324)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1324)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1325)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1325)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1326)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1327)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1326)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1326)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1327)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1327)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1328)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1329)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1328)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1328)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1329)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1329)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1330)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1331)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vff(1330)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1330)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vff(1331)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vff(1331)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vff-RSL(1322)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1323)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_vff-RSL-IPA(1321)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff-RSL-IPA(1321): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff-RSL(1322): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1323): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1324): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1325): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1326): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1327): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1328): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1329): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1330): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vff(1331): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass'. Mon Jun 24 14:47:51 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2887334) Waiting for packet dumper to finish... 1 (prev_count=2887334, count=2888270) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_vhh ------ Mon Jun 24 14:47:54 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_vhh started. TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1335)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1336)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1337)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1338)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1335)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1335)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1336)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1336)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1337)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1337)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1338)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1338)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1339)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1340)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1341)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1342)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1339)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1339)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1340)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1340)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1341)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1341)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1342)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1342)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1343)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1344)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1345)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1346)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1343)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1343)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1344)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1344)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1345)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1345)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1346)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1346)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1347)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1348)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1349)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_vhh(1350)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_vhh(1347)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1347)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1348)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1348)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1349)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1349)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_vhh(1350)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_vhh(1350)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_vhh-RSL(1333)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1334)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_vhh-RSL-IPA(1332)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh-RSL-IPA(1332): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh-RSL(1333): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1334): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1335): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1336): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1337): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1338): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1339): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1340): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1341): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1342): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1343): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1344): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1345): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1346): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1347): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1348): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1349): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_vhh(1350): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_vhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass'. Mon Jun 24 14:47:58 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3108021) Waiting for packet dumper to finish... 1 (prev_count=3108021, count=3108520) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh ------ Mon Jun 24 14:48:01 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_hvhh started. TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1354)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1355)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1356)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1354)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1354)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1355)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1355)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1356)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1356)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1357)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1358)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1359)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1357)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1357)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1358)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1358)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1359)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1359)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1360)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1361)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1362)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1360)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1360)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1361)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1361)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1362)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1362)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1363)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_hvhh(1364)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1365)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_hvhh(1363)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1363)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1364)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1364)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_hvhh(1365)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_hvhh(1365)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_hvhh-RSL(1352)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1353)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_hvhh-RSL-IPA(1351)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL-IPA(1351): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh-RSL(1352): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1353): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1354): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1355): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1356): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1357): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1358): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1359): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1360): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1361): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1362): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1363): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1364): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_hvhh(1365): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_hvhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass'. Mon Jun 24 14:48:05 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2985676) Waiting for packet dumper to finish... 1 (prev_count=2985676, count=2986175) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff ------ Mon Jun 24 14:48:08 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_ipa_vff started. TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1369)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1370)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1369)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1369)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1370)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1370)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1371)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1372)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1371)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1371)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1372)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1372)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1373)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1374)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1373)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1373)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1374)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1374)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1375)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_act_dyn_ipa_vff(1376)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_ipa_vff(1375)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1375)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_ipa_vff(1376)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_ipa_vff(1376)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_ipa_vff-RSL(1367)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1368)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL-IPA(1366): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff-RSL(1367): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1368): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1369): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1370): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1371): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1372): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1373): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1374): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1375): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_ipa_vff(1376): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_ipa_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass'. Mon Jun 24 14:48:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2923705) Waiting for packet dumper to finish... 1 (prev_count=2923705, count=2928880) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff ------ Mon Jun 24 14:48:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_vff started. TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vff(1380)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1381)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1380)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1380)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1381)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1381)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1382)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1383)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1382)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1382)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1383)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1383)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1384)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1385)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1384)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1384)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1385)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1385)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1386)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1387)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vff(1386)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1386)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vff(1387)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vff(1387)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vff-RSL(1378)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1379)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL-IPA(1377): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff-RSL(1378): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1379): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1380): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1381): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1382): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1383): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1384): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1385): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1386): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vff(1387): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass'. Mon Jun 24 14:48:19 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2895370) Waiting for packet dumper to finish... 1 (prev_count=2895370, count=2895869) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh ------ Mon Jun 24 14:48:22 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_vhh started. TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_vhh(1391)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1392)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1393)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1394)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1391)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1391)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1392)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1392)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1393)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1393)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1394)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1394)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1395)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1395)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1396)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1396)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1397)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1397)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1398)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1398)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1399)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1399)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1400)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1400)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1401)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1401)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1402)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1402)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_vhh(1403)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1403)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1404)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1404)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1405)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1405)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_vhh(1406)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_vhh(1406)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1390)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL-IPA(1388): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh-RSL(1389): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1390): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1391): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1392): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1393): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1394): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1395): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1396): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1397): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1398): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1399): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1400): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1401): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1402): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1403): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1404): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1405): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_vhh(1406): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_vhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass'. Mon Jun 24 14:48:25 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=3061729) Waiting for packet dumper to finish... 1 (prev_count=3061729, count=3062228) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh ------ Mon Jun 24 14:48:29 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_hvhh started. TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_act_dyn_osmo_hvhh(1410)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1411)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1412)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1410)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1410)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1411)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1411)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1412)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1412)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1413)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1414)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1415)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1413)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1413)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1414)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1414)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1415)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1415)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1416)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1416)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1417)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1417)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1418)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1418)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_act_dyn_osmo_hvhh(1419)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1419)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1420)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1420)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_act_dyn_osmo_hvhh(1421)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_act_dyn_osmo_hvhh(1421)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1409)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL-IPA(1407): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh-RSL(1408): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1409): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1410): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1411): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1412): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1413): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1414): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1415): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1416): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1417): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1418): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1419): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1420): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_act_dyn_osmo_hvhh(1421): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_act_dyn_osmo_hvhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass'. Mon Jun 24 14:48:32 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2962016) Waiting for packet dumper to finish... 1 (prev_count=2962016, count=2962515) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff ------ Mon Jun 24 14:48:36 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_vff started. TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vff(1425)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1425)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1425)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1425)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1426)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1426)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1426)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1426)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1427)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1427)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1427)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1427)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1428)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1428)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1428)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1428)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1429)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1429)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1429)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1429)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1430)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1430)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1430)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1430)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1431)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1431)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1431)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vff(1432)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vff(1432)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vff(1432)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1424)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL(1423)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_vff-RSL-IPA(1422)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL-IPA(1422): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff-RSL(1423): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1424): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1425): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1426): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1427): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1428): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1429): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1430): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1431): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vff(1432): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass'. Mon Jun 24 14:48:38 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2119554) Waiting for packet dumper to finish... 1 (prev_count=2119554, count=2120053) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh ------ Mon Jun 24 14:48:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_vhh started. TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1436)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1436)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1436)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1436)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1437)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1437)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1437)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1437)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1438)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1438)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1438)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1438)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1439)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1439)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1440)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1440)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1440)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1440)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1441)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1441)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1441)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1441)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1442)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1442)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1442)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1442)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1443)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1443)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1443)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1444)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1444)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1444)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1444)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1445)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1445)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1445)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1446)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1446)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1446)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1446)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1447)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1447)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1447)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1448)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1448)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1448)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1449)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1449)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1449)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1450)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_vhh(1450)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1450)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_vhh(1451)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_vhh(1451)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_vhh(1451)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_vhh-RSL(1434)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1435)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL-IPA(1433): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh-RSL(1434): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1435): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1436): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1437): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1438): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1439): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1440): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1441): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1442): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1443): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1444): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1445): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1446): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1447): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1448): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1449): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1450): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_vhh(1451): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_vhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass'. Mon Jun 24 14:48:44 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2272787) Waiting for packet dumper to finish... 1 (prev_count=2272787, count=2273286) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh ------ Mon Jun 24 14:48:47 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_hvhh started. TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1455)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1455)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1455)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1455)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1456)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1456)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1456)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1456)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1457)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1457)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1457)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1457)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1458)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1458)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1458)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1458)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1459)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1459)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1459)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1459)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1460)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1460)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1460)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1460)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1461)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1461)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1461)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1462)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1462)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1462)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1463)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1463)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1463)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1464)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1464)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1465)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1465)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 0, hsn := 5 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_hvhh(1465)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1465)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_hvhh(1466)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_hvhh(1466)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_hvhh(1466)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_hvhh-RSL(1453)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1454)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL-IPA(1452): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh-RSL(1453): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1454): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1455): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1456): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1457): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1458): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1459): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1460): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1461): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1462): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1463): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1464): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1465): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_hvhh(1466): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_hvhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass'. Mon Jun 24 14:48:49 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2209098) Waiting for packet dumper to finish... 1 (prev_count=2209098, count=2209597) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff ------ Mon Jun 24 14:48:53 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff started. TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1470)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1470)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1470)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1470)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1471)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1471)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1471)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1471)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1472)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1472)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1472)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1472)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1473)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1474)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1475)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@cf52eee7cfd6: setverdict(pass): none -> pass MTC@cf52eee7cfd6: Freq. hopping parameters: { enabled := true, maio_hsn := { maio := 3, hsn := 3 }, ma_map := { len := 1, ma := '11110000'B }, ma := { { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 873 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 875 }, { pcs := false, uplink := false, spare := '0000'B, arfcn := 877 } } } TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1476)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_ipa_vff(1477)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 IPA-CTRL-CLI-IPA(1469)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL-IPA(1467): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff-RSL(1468): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1469): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1470): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1471): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1472): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1473): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1474): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1475): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1476): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_ipa_vff(1477): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_ipa_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass'. Mon Jun 24 14:48:55 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2122191) Waiting for packet dumper to finish... 1 (prev_count=2122191, count=2122690) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff ------ Mon Jun 24 14:48:58 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff started. TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vff(1481)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1481)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1481)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1481)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1482)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1482)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1482)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1482)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1483)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1483)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1483)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1483)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1484)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1485)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1486)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1487)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vff(1488)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1480)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL-IPA(1478): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff-RSL(1479): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1480): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1481): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1482): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1483): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1484): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1485): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1486): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1487): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vff(1488): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_vff finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass'. Mon Jun 24 14:49:01 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2127259) Waiting for packet dumper to finish... 1 (prev_count=2127259, count=2128195) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh ------ Mon Jun 24 14:49:04 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh started. TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1491)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL-IPA(1489): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh-RSL(1490): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1491): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1492): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1493): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1494): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1495): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1496): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1497): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1498): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1499): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1500): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1501): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1502): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1503): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1504): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1505): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1506): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_vhh(1507): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_vhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass'. Mon Jun 24 14:49:06 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2284865) Waiting for packet dumper to finish... 1 (prev_count=2284865, count=2290040) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh'. ------ BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh ------ Mon Jun 24 14:49:10 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap" >/data/BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh started. TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1513)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1513)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1513)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1513)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521)@cf52eee7cfd6: Final verdict of PTC: pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_VAMOS.ttcn":189 TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1510)@cf52eee7cfd6: Final verdict of PTC: none TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL-IPA(1508): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh-RSL(1509): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1510): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1511): pass (none -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1512): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1513): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1514): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1515): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1516): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1517): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1518): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1519): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1520): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1521): pass (pass -> pass) MTC@cf52eee7cfd6: Local verdict of PTC TC_vamos_chan_mode_modify_dyn_osmo_hvhh(1522): pass (pass -> pass) MTC@cf52eee7cfd6: Test case TC_vamos_chan_mode_modify_dyn_osmo_hvhh finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass'. Mon Jun 24 14:49:12 UTC 2024 ====== BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2191846) Waiting for packet dumper to finish... 1 (prev_count=2191846, count=2197021) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass' was executed successfully (exit status: 0). MC@cf52eee7cfd6: Test execution finished. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification'. ------ BTS_Tests_ASCI.TC_vbs_notification ------ Mon Jun 24 14:49:15 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vbs_notification.pcap" >/data/BTS_Tests_ASCI.TC_vbs_notification.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vbs_notification' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vbs_notification started. TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vbs_notification-RSL(1524)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Sending RSL NOTIF_CMD (start) MTC@cf52eee7cfd6: setverdict(fail): none -> fail reason: "Timeout waiting for NCH message", new component reason: "Timeout waiting for NCH message" MTC@cf52eee7cfd6: Sending RSL NOTIF_CMD (stop) MTC@cf52eee7cfd6: Not received NOTIFICATION/NCH. (as expected) MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":127 TC_vbs_notification-RSL(1524)@cf52eee7cfd6: Final verdict of PTC: none TC_vbs_notification-RSL-IPA(1523)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1525)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: fail reason: "Timeout waiting for NCH message" MTC@cf52eee7cfd6: Local verdict of PTC TC_vbs_notification-RSL-IPA(1523): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC TC_vbs_notification-RSL(1524): none (fail -> fail) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1525): none (fail -> fail) MTC@cf52eee7cfd6: Test case TC_vbs_notification finished. Verdict: fail reason: Timeout waiting for NCH message MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail'. Mon Jun 24 14:49:23 UTC 2024 ------ BTS_Tests_ASCI.TC_vbs_notification fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vbs_notification.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6540239) Waiting for packet dumper to finish... 1 (prev_count=6540239, count=6540738) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vbs_notification fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy'. ------ BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy ------ Mon Jun 24 14:49:26 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vgcs_uplink_free_and_busy started. TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Activating VGCS channel. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Send UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Send UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 2, m := false, el := 1, payload := '062A'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Received UPLINK BUSY. TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_uplink_free_and_busy(1529)@cf52eee7cfd6: Final verdict of PTC: pass MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":190 TC_vgcs_uplink_free_and_busy-RSL(1527)@cf52eee7cfd6: Final verdict of PTC: none TC_vgcs_uplink_free_and_busy-RSL-IPA(1526)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1528)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL-IPA(1526): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_uplink_free_and_busy-RSL(1527): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1528): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_uplink_free_and_busy(1529): pass (none -> pass) MTC@cf52eee7cfd6: Test case TC_vgcs_uplink_free_and_busy finished. Verdict: pass MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass'. Mon Jun 24 14:49:29 UTC 2024 ====== BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass ====== Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=2603570) Waiting for packet dumper to finish... 1 (prev_count=2603570, count=2604069) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail'. ------ BTS_Tests_ASCI.TC_vgcs_talker_fail ------ Mon Jun 24 14:49:32 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_fail.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vgcs_talker_fail started. TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Activating VGCS channel. TC_vgcs_talker_fail(1533)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Send UPLINK FREE. TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Send UPLINK ACCESS. TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_fail(1533)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"", new component reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7353 MC@cf52eee7cfd6: Test Component 1533 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_fail-RSL(1531)@cf52eee7cfd6: Final verdict of PTC: none TC_vgcs_talker_fail(1533)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_fail-RSL-IPA(1530)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1532)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_fail-RSL-IPA(1530): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_fail-RSL(1531): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1532): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_fail(1533): fail (none -> fail) reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" MTC@cf52eee7cfd6: Test case TC_vgcs_talker_fail finished. Verdict: fail reason: "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail'. Mon Jun 24 14:49:37 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_fail fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_fail.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5540715) Waiting for packet dumper to finish... 1 (prev_count=5540715, count=5541707) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_fail fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel'. ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel ------ Mon Jun 24 14:49:41 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_talker_est_rel.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vgcs_talker_est_rel started. TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Activating VGCS channel. TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Send UPLINK FREE. TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { bter := { payload := '082B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("\b++++++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: setverdict(pass): pass -> pass, component reason not changed TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Received UPLINK FREE. TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Send UPLINK ACCESS. TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O ("++++++++++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Rx LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 2, c_r := false, ea := false }, ctrl := { u := { u := '001'B, p_f := false, u2 := '10'B, spare := '11'B } }, len := 10, m := true, el := 1, payload := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++"), padding := '2B2B2B2B2B2B2B2B2B2B'O ("++++++++++") } } TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"", new component reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests.ttcn":7353 MC@cf52eee7cfd6: Test Component 1537 has requested to stop MTC. Terminating current testcase execution. TC_vgcs_talker_est_rel(1537)@cf52eee7cfd6: Final verdict of PTC: fail reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" TC_vgcs_talker_est_rel-RSL(1535)@cf52eee7cfd6: Final verdict of PTC: none TC_vgcs_talker_est_rel-RSL-IPA(1534)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1536)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_est_rel-RSL-IPA(1534): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_est_rel-RSL(1535): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1536): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_talker_est_rel(1537): fail (none -> fail) reason: ""BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }"" MTC@cf52eee7cfd6: Test case TC_vgcs_talker_est_rel finished. Verdict: fail reason: "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail'. Mon Jun 24 14:49:46 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_talker_est_rel.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=5537396) Waiting for packet dumper to finish... 1 (prev_count=5537396, count=5537895) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_talker_est_rel fail' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det'. ------ BTS_Tests_ASCI.TC_vgcs_listener_det ------ Mon Jun 24 14:49:50 UTC 2024 NOTE: unable to use dumpcap due to missing capabilities or suid bit /usr/bin/tcpdump -U -s 1520 -n -i any -w "/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap" >/data/BTS_Tests_ASCI.TC_vgcs_listener_det.pcap.stdout 2>/tmp/cmderr & Waiting for packet dumper to start... 0 MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-start.sh BTS_Tests_ASCI.TC_vgcs_listener_det' was executed successfully (exit status: 0). MTC@cf52eee7cfd6: Test case TC_vgcs_listener_det started. TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: Established a new IPA connection (conn_id=2) TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=2), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: Established a new IPA connection (conn_id=3) TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=3), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: Established a new IPA connection (conn_id=4) TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=4), waiting for IDENTITY RESPONSE... TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: Established a new IPA connection (conn_id=5) TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=2): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_UNITID (8) } } } } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Mapped TRX#0 to TCP/IP conn_id=2 TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: A new IPA/RSL connection has been established (conn_id=5), waiting for IDENTITY RESPONSE... MTC@cf52eee7cfd6: 1/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=3): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3100'O } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } MTC@cf52eee7cfd6: 1/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Mapped TRX#1 to TCP/IP conn_id=3 TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } } } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: IPA ID RESP: { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } MTC@cf52eee7cfd6: 2/4 transceiver(s) connected TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=4): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3200'O } } MTC@cf52eee7cfd6: 2/4 RF Resource Indication(s) received TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Mapped TRX#2 to TCP/IP conn_id=4 MTC@cf52eee7cfd6: 3/4 transceiver(s) connected TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Got IDENTITY RESPONSE (conn_id=5): { { len := 28, tag := IPAC_IDTAG_UNITNAME (1), data := '6F736D6F2D6274732D30322D34322D61632D31322D36632D313400'O }, { len := 10, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3300'O } } TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Warning: dec_IpaUnitId(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Mapped TRX#3 to TCP/IP conn_id=5 MTC@cf52eee7cfd6: 3/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: 4/4 transceiver(s) connected MTC@cf52eee7cfd6: 4/4 RF Resource Indication(s) received MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): { header := { l2_plen := { l2_plen := 18, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_3 (27) }, payload := { si3 := { cell_id := 23, lai := { mcc_mnc := '262F42'H, lac := 42 }, ctrl_chan_desc := { msc_r99 := true, att := true, bs_ag_blks_res := 1, ccch_conf := CCHAN_DESC_1CCCH_COMBINED (1), si22ind := false, cbq3 := CBQ3_IU_MODE_NOT_SUPPORTED (0), spare := '00'B, bs_pa_mfrms := 0, t3212 := 1 }, cell_options := { dn_ind := false, pwrc := false, dtx := MS_MAY_USE_UL_DTX (0), radio_link_tout_div4 := 7 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, si_2ter_ind := '0'B, early_cm_ind := '0'B, sched_where := { presence := '0'B, where := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, umts_early_cm_ind := '0'B, si2_quater_ind := { presence := '0'B, ind := omit }, iu_mode_ind := omit, si21_ind := { presence := '0'B, pos := omit } } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_3 (3): '49061B001762F224002AC90001074740E504002C2B2B2B'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): { header := { l2_plen := { l2_plen := 22, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_2 (26) }, payload := { si2 := { bcch_freq_list := '00000000000000000000000000000000'O, ncc_permitted := '11111111'B, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_2 (2): '59061A00000000000000000000000000000000FFE50400'O MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): { header := { l2_plen := { l2_plen := 12, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := SYSTEM_INFORMATION_TYPE_4 (28) }, payload := { si4 := { lai := { mcc_mnc := '262F42'H, lac := 42 }, cell_sel_par := { cell_resel_hyst_2dB := 2, ms_txpwr_max_cch := 7, acs := '0'B, neci := true, rxlev_access_min := 0 }, rach_control := { max_retrans := RACH_MAX_RETRANS_7 (3), tx_integer := '1001'B, cell_barr_access := false, re_not_allowed := true, acc := '0000010000000000'B }, cbch_chan_desc := omit, cbch_mobile_alloc := omit, rest_octets := { sel_params := { presence := '0'B, params := omit }, pwr_offset := { presence := '0'B, offset := omit }, gprs_ind := { presence := '1'B, ind := { ra_colour := 0, si13_pos := '0'B } }, s_presence := '0'B, s := omit } } } } MTC@cf52eee7cfd6: Setting RSL_SYSTEM_INFO_4 (4): '31061C62F224002A4740E50400012B2B2B2B2B2B2B2B2B'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O MTC@cf52eee7cfd6: Warning: dec_TrxcMessage(): Data remained at the end of the stream after successful decoding: '00'O TC_vgcs_listener_det(1541)@cf52eee7cfd6: Activating VGCS channel. TC_vgcs_listener_det(1541)@cf52eee7cfd6: setverdict(pass): none -> pass TC_vgcs_listener_det(1541)@cf52eee7cfd6: Send UPLINK ACCESS. TC_vgcs_listener_det(1541)@cf52eee7cfd6: setverdict(fail): pass -> fail reason: "Timeout waiting for RSL Listener Detect.", new component reason: "Timeout waiting for RSL Listener Detect." TC_vgcs_listener_det(1541)@cf52eee7cfd6: Send second UPLINK ACCESS. TC_vgcs_listener_det(1541)@cf52eee7cfd6: Timeout waiting for RSL Listener Detect, as expected. TC_vgcs_listener_det(1541)@cf52eee7cfd6: Final verdict of PTC: fail reason: "Timeout waiting for RSL Listener Detect." MTC@cf52eee7cfd6: Stopping testcase execution from "BTS_Tests_ASCI.ttcn":411 TC_vgcs_listener_det-RSL(1539)@cf52eee7cfd6: Final verdict of PTC: none TC_vgcs_listener_det-RSL-IPA(1538)@cf52eee7cfd6: Final verdict of PTC: none IPA-CTRL-CLI-IPA(1540)@cf52eee7cfd6: Final verdict of PTC: none MTC@cf52eee7cfd6: Setting final verdict of the test case. MTC@cf52eee7cfd6: Local verdict of MTC: none MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_listener_det-RSL-IPA(1538): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_listener_det-RSL(1539): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC IPA-CTRL-CLI-IPA(1540): none (none -> none) MTC@cf52eee7cfd6: Local verdict of PTC TC_vgcs_listener_det(1541): fail (none -> fail) reason: "Timeout waiting for RSL Listener Detect." MTC@cf52eee7cfd6: Test case TC_vgcs_listener_det finished. Verdict: fail reason: Timeout waiting for RSL Listener Detect. MTC@cf52eee7cfd6: Starting external command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail'. Mon Jun 24 14:49:56 UTC 2024 ------ BTS_Tests_ASCI.TC_vgcs_listener_det fail ------ Saving talloc report from 172.18.108.20:4241 to BTS_Tests_ASCI.TC_vgcs_listener_det.talloc Waiting for packet dumper to finish... 0 (prev_count=-1, count=6701871) Waiting for packet dumper to finish... 1 (prev_count=6701871, count=6702370) MTC@cf52eee7cfd6: External command `../ttcn3-tcpdump-stop.sh BTS_Tests_ASCI.TC_vgcs_listener_det fail' was executed successfully (exit status: 0). MC@cf52eee7cfd6: Test execution finished. Execution of [EXECUTE] section finished. emtc MC@cf52eee7cfd6: Terminating MTC. MC@cf52eee7cfd6: MTC terminated. MC2> exit MC@cf52eee7cfd6: Shutting down session. MC@cf52eee7cfd6: Shutdown complete. Comparing expected results '/osmo-ttcn3-hacks/bts/expected-results.xml' against results in 'junit-xml-hopping-21.log' -------------------- pass BTS_Tests.TC_est_dchan pass BTS_Tests.TC_chan_act_stress pass BTS_Tests.TC_chan_act_react pass BTS_Tests.TC_chan_deact_not_active pass BTS_Tests.TC_chan_act_wrong_nr pass BTS_Tests.TC_deact_sacch pass BTS_Tests.TC_sacch_filling pass BTS_Tests.TC_sacch_info_mod pass BTS_Tests.TC_sacch_multi pass BTS_Tests.TC_sacch_multi_chg pass BTS_Tests.TC_sacch_chan_act pass BTS_Tests.TC_sacch_chan_act_ho_async pass BTS_Tests.TC_sacch_chan_act_ho_sync pass BTS_Tests.TC_rach_content pass BTS_Tests.TC_rach_content_emerg pass BTS_Tests.TC_rach_count pass BTS_Tests.TC_rach_max_ta pass BTS_Tests.TC_ho_rach xfail BTS_Tests.TC_ho_physical_info pass BTS_Tests.TC_rach_load_idle_thresh0 pass BTS_Tests.TC_rach_load_idle_below_thresh pass BTS_Tests.TC_rach_load_count pass BTS_Tests.TC_meas_res_speech_tchf pass BTS_Tests.TC_meas_res_speech_tchf_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_facch pass->FAIL BTS_Tests.TC_meas_res_speech_tchh_toa256 pass->FAIL BTS_Tests.TC_meas_res_sign_tchf pass->FAIL BTS_Tests.TC_meas_res_sign_tchh pass BTS_Tests.TC_meas_res_sign_sdcch4 pass->FAIL BTS_Tests.TC_meas_res_sign_sdcch8 pass->FAIL BTS_Tests.TC_meas_res_sign_tchh_toa256 pass BTS_Tests.TC_meas_res_speech_tchf_sapi3 pass BTS_Tests.TC_meas_res_speech_tchh_sapi3 pass BTS_Tests.TC_tx_power_start_ramp_up_bcch pass BTS_Tests.TC_tx_power_down_bcch pass BTS_Tests.TC_tx_power_ramp_adm_state_change pass BTS_Tests.TC_rsl_bs_pwr_static_ass pass BTS_Tests.TC_rsl_bs_pwr_static_power_control pass->FAIL BTS_Tests.TC_rsl_ms_pwr_ctrl pass BTS_Tests.TC_rsl_ms_pwr_dyn_active pass BTS_Tests.TC_rsl_ms_pwr_dyn_active2 pass BTS_Tests.TC_rsl_ms_pwr_dyn_up pass BTS_Tests.TC_rsl_ms_pwr_dyn_down pass BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown pass BTS_Tests.TC_rsl_ms_pwr_dyn_max pass BTS_Tests.TC_rsl_chan_initial_ms_pwr pass BTS_Tests.TC_rsl_chan_initial_ta pass BTS_Tests.TC_rsl_modify_encr pass BTS_Tests.TC_rsl_rf_resource_ind pass BTS_Tests.TC_conn_fail_crit pass BTS_Tests.TC_paging_imsi_80percent pass BTS_Tests.TC_paging_tmsi_80percent pass BTS_Tests.TC_paging_imsi_200percent pass BTS_Tests.TC_paging_tmsi_200percent pass BTS_Tests.TC_rsl_protocol_error pass BTS_Tests.TC_rsl_mand_ie_error pass BTS_Tests.TC_rsl_ie_content_error pass BTS_Tests.TC_si_sched_default pass BTS_Tests.TC_si_sched_1 pass BTS_Tests.TC_si_sched_2bis pass BTS_Tests.TC_si_sched_2ter pass BTS_Tests.TC_si_sched_2ter_2bis pass BTS_Tests.TC_si_sched_2quater pass BTS_Tests.TC_si_sched_13 pass BTS_Tests.TC_si_sched_13_2bis_2ter_2quater pass BTS_Tests.TC_ipa_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_twice_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active pass BTS_Tests.TC_ipa_crcx_sdcch_not_active xfail BTS_Tests.TC_ipa_crcx_ack_addr pass BTS_Tests.TC_paging_imsi_200percent_with_ps pass BTS_Tests.TC_pcu_act_req pass BTS_Tests.TC_pcu_act_req_wrong_ts pass BTS_Tests.TC_pcu_act_req_wrong_bts pass BTS_Tests.TC_pcu_act_req_wrong_trx pass BTS_Tests.TC_pcu_deact_req pass BTS_Tests.TC_pcu_deact_req_wrong_ts pass BTS_Tests.TC_pcu_ver_si1 pass BTS_Tests.TC_pcu_ver_si3 pass BTS_Tests.TC_pcu_ver_si13 pass BTS_Tests.TC_pcu_data_req_pdtch pass BTS_Tests.TC_pcu_data_req_ptcch pass BTS_Tests.TC_pcu_data_req_wrong_bts pass BTS_Tests.TC_pcu_data_req_wrong_trx pass BTS_Tests.TC_pcu_data_req_wrong_ts pass BTS_Tests.TC_pcu_data_req_ts_inactive pass->FAIL BTS_Tests.TC_pcu_ptcch pass BTS_Tests.TC_pcu_data_req_agch pass BTS_Tests.TC_pcu_data_req_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_pch pass BTS_Tests.TC_pcu_data_req_imm_ass_agch pass BTS_Tests.TC_pcu_rach_content pass BTS_Tests.TC_pcu_ext_rach_content pass->FAIL BTS_Tests.TC_pcu_data_ind_lqual_cb pass BTS_Tests.TC_pcu_paging_from_rsl pass->FAIL BTS_Tests.TC_pcu_time_ind pass->FAIL BTS_Tests.TC_pcu_rts_req xfail BTS_Tests.TC_pcu_oml_alert pass BTS_Tests.TC_pcu_rr_suspend pass BTS_Tests.TC_pcu_socket_connect_multi pass BTS_Tests.TC_pcu_socket_reconnect pass BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_connect_si3gprs pass BTS_Tests.TC_pcu_socket_connect_si4gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs pass BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs pass BTS_Tests.TC_pcu_socket_verify_info_ind pass BTS_Tests.TC_dyn_osmo_pdch_act_deact pass BTS_Tests.TC_dyn_osmo_pdch_double_act pass BTS_Tests.TC_dyn_ipa_pdch_act_deact pass BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack pass BTS_Tests.TC_pcu_info_ind_fh_params pass BTS_Tests.TC_pcu_socket_nsvc_ipv4 pass BTS_Tests.TC_pcu_socket_nsvc_ipv6 pass BTS_Tests.TC_pcu_socket_two_nsvc pass BTS_Tests.TC_pcu_interf_ind pass BTS_Tests.TC_dyn_osmo_pdch_unsol_deact pass BTS_Tests.TC_dyn_osmo_pdch_tchf_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act pass BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act pass BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act pass BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack pass BTS_Tests.TC_rll_est_ind pass BTS_Tests.TC_rll_est_req_DCCH_3 pass BTS_Tests.TC_rll_est_req_ACCH_3 pass BTS_Tests.TC_rll_rel_ind_DCCH_0 pass BTS_Tests.TC_rll_rel_ind_DCCH_3 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_0 pass->FAIL BTS_Tests.TC_rll_rel_ind_ACCH_3 pass->FAIL BTS_Tests.TC_rll_rel_req pass BTS_Tests.TC_rll_unit_data_req_DCCH pass BTS_Tests.TC_rll_unit_data_req_ACCH pass BTS_Tests.TC_rll_unit_data_ind_DCCH pass BTS_Tests.TC_rll_unit_data_ind_ACCH pass BTS_Tests.TC_chan_act_a51 pass BTS_Tests.TC_chan_act_a52 pass BTS_Tests.TC_chan_act_a53 pass BTS_Tests.TC_chan_act_a54 pass BTS_Tests.TC_encr_cmd_a51 pass BTS_Tests.TC_encr_cmd_a52 pass BTS_Tests.TC_encr_cmd_a53 pass BTS_Tests.TC_encr_cmd_a54 pass BTS_Tests.TC_err_rep_wrong_mdisc pass BTS_Tests.TC_err_rep_wrong_msg_type pass BTS_Tests.TC_err_rep_wrong_sequence pass BTS_Tests.TC_lapdm_selftest pass BTS_Tests.TC_tch_sign_l2_fill_frame xfail BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd pass BTS_Tests.TC_chopped_ipa_ping pass BTS_Tests.TC_chopped_ipa_payload pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_constant pass->FAIL BTS_Tests.TC_ms_pwr_ctrl_pf_ewma pass BTS_Tests.TC_speech_no_rtp_tchf pass BTS_Tests.TC_speech_no_rtp_tchh pass BTS_Tests.TC_speech_rtp_tchf pass BTS_Tests.TC_speech_rtp_tchh pass BTS_Tests.TC_speech_osmux_tchf pass BTS_Tests.TC_speech_osmux_tchh xfail BTS_Tests.TC_data_rtp_tchf144 pass BTS_Tests.TC_data_rtp_tchf96 pass BTS_Tests.TC_data_rtp_tchf48 pass BTS_Tests.TC_data_rtp_tchh48 pass BTS_Tests.TC_data_rtp_tchf24 pass->FAIL BTS_Tests.TC_data_rtp_tchh24 pass BTS_Tests.TC_early_immediate_assignment pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh pass->FAIL BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx pass->FAIL BTS_Tests.TC_acch_overpower_always_on_facch pass->FAIL BTS_Tests.TC_acch_overpower_always_on_sacch pass->FAIL BTS_Tests.TC_acch_overpower_limit pass BTS_Tests_SMSCB.TC_cbch_load_idle_no_cbch pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_and_normal pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch4_default_then_null pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch4_load_overload pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_1block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_2block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_3block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_4block pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_multi pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_schedule pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_only pass BTS_Tests_SMSCB.TC_sms_cb_cmd_sdcch8_default_and_normal pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_idle pass BTS_Tests_SMSCB.TC_cbc_sdcch8_load_overload pass BTS_Tests_SMSCB.TC_etws_p1ro pass BTS_Tests_SMSCB.TC_etws_p1ro_end pass BTS_Tests_SMSCB.TC_etws_pcu pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi0_nopayload pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi3 pass BTS_Tests_LAPDm.TC_sabm_ua_dcch_sapi4 pass BTS_Tests_LAPDm.TC_sabm_contention pass BTS_Tests_LAPDm.TC_sabm_retransmit pass BTS_Tests_LAPDm.TC_sabm_retransmit_bts pass BTS_Tests_LAPDm.TC_sabm_invalid_resp pass BTS_Tests_LAPDm.TC_sabm_dm pass BTS_Tests_LAPDm.TC_establish_ign_first_sabm pass->FAIL BTS_Tests_LAPDm.TC_iframe_seq_and_ack pass->FAIL BTS_Tests_LAPDm.TC_iframe_timer_recovery pass->FAIL BTS_Tests_LAPDm.TC_ns_seq_error pass BTS_Tests_LAPDm.TC_nr_seq_error pass->FAIL BTS_Tests_LAPDm.TC_rec_invalid_frame pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_dcch pass->FAIL BTS_Tests_LAPDm.TC_segm_concat_sacch pass->FAIL BTS_Tests_LAPDm.TC_t200_n200 pass->FAIL BTS_Tests_LAPDm.TC_rr_response_frame_loss pass BTS_Tests_LAPDm.TC_incorrect_cr pass->FAIL BTS_Tests_LAPDm.TC_sabm_incorrect_c pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment pass->FAIL BTS_Tests_LAPDm.TC_normal_reestablishment_state_unacked pass BTS_Tests_VAMOS.TC_vamos_chan_act_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_act_dyn_osmo_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_hvhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_ipa_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vff pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_vhh pass BTS_Tests_VAMOS.TC_vamos_chan_mode_modify_dyn_osmo_hvhh pass->FAIL BTS_Tests_ASCI.TC_vbs_notification pass BTS_Tests_ASCI.TC_vgcs_uplink_free_and_busy pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_fail pass->FAIL BTS_Tests_ASCI.TC_vgcs_talker_est_rel pass->FAIL BTS_Tests_ASCI.TC_vgcs_listener_det Summary: pass->FAIL: 38 xfail: 5 pass: 199 + exit_code=0 + /osmo-ttcn3-hacks/log_merge.sh BTS_Tests --rm Generated BTS_Tests.TC_acch_overpower_always_on_facch.merged Generated BTS_Tests.TC_acch_overpower_always_on_sacch.merged Generated BTS_Tests.TC_acch_overpower_limit.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh.merged Generated BTS_Tests.TC_acch_overpower_rxqual_thresh_dtx.merged Generated BTS_Tests.TC_cbc_sdcch4_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch4_load_overload.merged Generated BTS_Tests.TC_cbc_sdcch8_load_idle.merged Generated BTS_Tests.TC_cbc_sdcch8_load_overload.merged Generated BTS_Tests.TC_cbch_load_idle_no_cbch.merged Generated BTS_Tests.TC_chan_act_a51.merged Generated BTS_Tests.TC_chan_act_a52.merged Generated BTS_Tests.TC_chan_act_a53.merged Generated BTS_Tests.TC_chan_act_a54.merged Generated BTS_Tests.TC_chan_act_react.merged Generated BTS_Tests.TC_chan_act_stress.merged Generated BTS_Tests.TC_chan_act_wrong_nr.merged Generated BTS_Tests.TC_chan_deact_not_active.merged Generated BTS_Tests.TC_chopped_ipa_payload.merged Generated BTS_Tests.TC_chopped_ipa_ping.merged Generated BTS_Tests.TC_conn_fail_crit.merged Generated BTS_Tests.TC_data_rtp_tchf144.merged Generated BTS_Tests.TC_data_rtp_tchf24.merged Generated BTS_Tests.TC_data_rtp_tchf48.merged Generated BTS_Tests.TC_data_rtp_tchf96.merged Generated BTS_Tests.TC_data_rtp_tchh24.merged Generated BTS_Tests.TC_data_rtp_tchh48.merged Generated BTS_Tests.TC_deact_sacch.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_ipa_pdch_act_tchf_act_nack.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_ipa_pdch_tchf_act_pdch_act_nack.merged Generated BTS_Tests.TC_dyn_osmo_pdch_act_deact.merged Generated BTS_Tests.TC_dyn_osmo_pdch_double_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_sdcch8_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchf_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_tchh_race_act.merged Generated BTS_Tests.TC_dyn_osmo_pdch_unsol_deact.merged Generated BTS_Tests.TC_early_immediate_assignment.merged Generated BTS_Tests.TC_encr_cmd_a51.merged Generated BTS_Tests.TC_encr_cmd_a52.merged Generated BTS_Tests.TC_encr_cmd_a53.merged Generated BTS_Tests.TC_encr_cmd_a54.merged Generated BTS_Tests.TC_err_rep_wrong_mdisc.merged Generated BTS_Tests.TC_err_rep_wrong_msg_type.merged Generated BTS_Tests.TC_err_rep_wrong_sequence.merged Generated BTS_Tests.TC_est_dchan.merged Generated BTS_Tests.TC_establish_ign_first_sabm.merged Generated BTS_Tests.TC_etws_p1ro.merged Generated BTS_Tests.TC_etws_p1ro_end.merged Generated BTS_Tests.TC_etws_pcu.merged Generated BTS_Tests.TC_ho_physical_info.merged Generated BTS_Tests.TC_ho_rach.merged Generated BTS_Tests.TC_iframe_seq_and_ack.merged Generated BTS_Tests.TC_iframe_timer_recovery.merged Generated BTS_Tests.TC_incorrect_cr.merged Generated BTS_Tests.TC_ipa_crcx_ack_addr.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_mdcx_mdcx_dlcx_not_active.merged Generated BTS_Tests.TC_ipa_crcx_sdcch_not_active.merged Generated BTS_Tests.TC_ipa_crcx_twice_not_active.merged Generated BTS_Tests.TC_ipa_dlcx_not_active.merged Generated BTS_Tests.TC_lapdm_selftest.merged Generated BTS_Tests.TC_meas_res_sign_sdcch4.merged Generated BTS_Tests.TC_meas_res_sign_sdcch8.merged Generated BTS_Tests.TC_meas_res_sign_tchf.merged Generated BTS_Tests.TC_meas_res_sign_tchh.merged Generated BTS_Tests.TC_meas_res_sign_tchh_toa256.merged Generated BTS_Tests.TC_meas_res_speech_tchf.merged Generated BTS_Tests.TC_meas_res_speech_tchf_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchf_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh.merged Generated BTS_Tests.TC_meas_res_speech_tchh_facch.merged Generated BTS_Tests.TC_meas_res_speech_tchh_sapi3.merged Generated BTS_Tests.TC_meas_res_speech_tchh_toa256.merged Generated BTS_Tests.TC_ms_pwr_ctrl_constant.merged Generated BTS_Tests.TC_ms_pwr_ctrl_pf_ewma.merged Generated BTS_Tests.TC_normal_reestablishment.merged Generated BTS_Tests.TC_normal_reestablishment_state_unacked.merged Generated BTS_Tests.TC_nr_seq_error.merged Generated BTS_Tests.TC_ns_seq_error.merged Generated BTS_Tests.TC_paging_imsi_200percent.merged Generated BTS_Tests.TC_paging_imsi_200percent_with_ps.merged Generated BTS_Tests.TC_paging_imsi_80percent.merged Generated BTS_Tests.TC_paging_tmsi_200percent.merged Generated BTS_Tests.TC_paging_tmsi_80percent.merged Generated BTS_Tests.TC_pcu_act_req.merged Generated BTS_Tests.TC_pcu_act_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_act_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_act_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_data_ind_lqual_cb.merged Generated BTS_Tests.TC_pcu_data_req_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_agch.merged Generated BTS_Tests.TC_pcu_data_req_imm_ass_pch.merged Generated BTS_Tests.TC_pcu_data_req_pch.merged Generated BTS_Tests.TC_pcu_data_req_pdtch.merged Generated BTS_Tests.TC_pcu_data_req_ptcch.merged Generated BTS_Tests.TC_pcu_data_req_ts_inactive.merged Generated BTS_Tests.TC_pcu_data_req_wrong_bts.merged Generated BTS_Tests.TC_pcu_data_req_wrong_trx.merged Generated BTS_Tests.TC_pcu_data_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_deact_req.merged Generated BTS_Tests.TC_pcu_deact_req_wrong_ts.merged Generated BTS_Tests.TC_pcu_ext_rach_content.merged Generated BTS_Tests.TC_pcu_info_ind_fh_params.merged Generated BTS_Tests.TC_pcu_interf_ind.merged Generated BTS_Tests.TC_pcu_oml_alert.merged Generated BTS_Tests.TC_pcu_paging_from_rsl.merged Generated BTS_Tests.TC_pcu_ptcch.merged Generated BTS_Tests.TC_pcu_rach_content.merged Generated BTS_Tests.TC_pcu_rr_suspend.merged Generated BTS_Tests.TC_pcu_rts_req.merged Generated BTS_Tests.TC_pcu_socket_connect_multi.merged Generated BTS_Tests.TC_pcu_socket_connect_si3gprs.merged Generated BTS_Tests.TC_pcu_socket_connect_si4gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_disconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi3gprs.merged Generated BTS_Tests.TC_pcu_socket_noconnect_nosi4gprs.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv4.merged Generated BTS_Tests.TC_pcu_socket_nsvc_ipv6.merged Generated BTS_Tests.TC_pcu_socket_reconnect.merged Generated BTS_Tests.TC_pcu_socket_two_nsvc.merged Generated BTS_Tests.TC_pcu_socket_verify_info_ind.merged Generated BTS_Tests.TC_pcu_time_ind.merged Generated BTS_Tests.TC_pcu_ver_si1.merged Generated BTS_Tests.TC_pcu_ver_si13.merged Generated BTS_Tests.TC_pcu_ver_si3.merged Generated BTS_Tests.TC_rach_content.merged Generated BTS_Tests.TC_rach_content_emerg.merged Generated BTS_Tests.TC_rach_count.merged Generated BTS_Tests.TC_rach_load_count.merged Generated BTS_Tests.TC_rach_load_idle_below_thresh.merged Generated BTS_Tests.TC_rach_load_idle_thresh0.merged Generated BTS_Tests.TC_rach_max_ta.merged Generated BTS_Tests.TC_rec_invalid_frame.merged Generated BTS_Tests.TC_rll_est_ind.merged Generated BTS_Tests.TC_rll_est_req_ACCH_3.merged Generated BTS_Tests.TC_rll_est_req_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_ACCH_3.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_0.merged Generated BTS_Tests.TC_rll_rel_ind_DCCH_3.merged Generated BTS_Tests.TC_rll_rel_req.merged Generated BTS_Tests.TC_rll_unit_data_ind_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_ind_DCCH.merged Generated BTS_Tests.TC_rll_unit_data_req_ACCH.merged Generated BTS_Tests.TC_rll_unit_data_req_DCCH.merged Generated BTS_Tests.TC_rr_response_frame_loss.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_ass.merged Generated BTS_Tests.TC_rsl_bs_pwr_static_power_control.merged Generated BTS_Tests.TC_rsl_chan_initial_ms_pwr.merged Generated BTS_Tests.TC_rsl_chan_initial_ta.merged Generated BTS_Tests.TC_rsl_ie_content_error.merged Generated BTS_Tests.TC_rsl_mand_ie_error.merged Generated BTS_Tests.TC_rsl_modify_encr.merged Generated BTS_Tests.TC_rsl_ms_pwr_ctrl.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_active2.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_ass_updown.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_down.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_max.merged Generated BTS_Tests.TC_rsl_ms_pwr_dyn_up.merged Generated BTS_Tests.TC_rsl_protocol_error.merged Generated BTS_Tests.TC_rsl_rf_resource_ind.merged Generated BTS_Tests.TC_sabm_contention.merged Generated BTS_Tests.TC_sabm_dm.merged Generated BTS_Tests.TC_sabm_incorrect_c.merged Generated BTS_Tests.TC_sabm_invalid_resp.merged Generated BTS_Tests.TC_sabm_retransmit.merged Generated BTS_Tests.TC_sabm_retransmit_bts.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi0_nopayload.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi3.merged Generated BTS_Tests.TC_sabm_ua_dcch_sapi4.merged Generated BTS_Tests.TC_sacch_chan_act.merged Generated BTS_Tests.TC_sacch_chan_act_ho_async.merged Generated BTS_Tests.TC_sacch_chan_act_ho_sync.merged Generated BTS_Tests.TC_sacch_filling.merged Generated BTS_Tests.TC_sacch_info_mod.merged Generated BTS_Tests.TC_sacch_multi.merged Generated BTS_Tests.TC_sacch_multi_chg.merged Generated BTS_Tests.TC_segm_concat_dcch.merged Generated BTS_Tests.TC_segm_concat_sacch.merged Generated BTS_Tests.TC_si_sched_1.merged Generated BTS_Tests.TC_si_sched_13.merged Generated BTS_Tests.TC_si_sched_13_2bis_2ter_2quater.merged Generated BTS_Tests.TC_si_sched_2bis.merged Generated BTS_Tests.TC_si_sched_2quater.merged Generated BTS_Tests.TC_si_sched_2ter.merged Generated BTS_Tests.TC_si_sched_2ter_2bis.merged Generated BTS_Tests.TC_si_sched_default.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_default_then_null.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch4_schedule.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_1block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_2block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_3block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_4block.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_and_normal.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_default_only.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_multi.merged Generated BTS_Tests.TC_sms_cb_cmd_sdcch8_schedule.merged Generated BTS_Tests.TC_speech_no_rtp_tchf.merged Generated BTS_Tests.TC_speech_no_rtp_tchh.merged Generated BTS_Tests.TC_speech_osmux_tchf.merged Generated BTS_Tests.TC_speech_osmux_tchh.merged Generated BTS_Tests.TC_speech_rtp_tchf.merged Generated BTS_Tests.TC_speech_rtp_tchh.merged Generated BTS_Tests.TC_t200_n200.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame.merged Generated BTS_Tests.TC_tch_sign_l2_fill_frame_dtxd.merged Generated BTS_Tests.TC_tx_power_down_bcch.merged Generated BTS_Tests.TC_tx_power_ramp_adm_state_change.merged Generated BTS_Tests.TC_tx_power_start_ramp_up_bcch.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_act_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_act_hvhh.merged Generated BTS_Tests.TC_vamos_chan_act_vff.merged Generated BTS_Tests.TC_vamos_chan_act_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_ipa_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_dyn_osmo_vhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_hvhh.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vff.merged Generated BTS_Tests.TC_vamos_chan_mode_modify_vhh.merged Generated BTS_Tests.TC_vbs_notification.merged Generated BTS_Tests.TC_vgcs_listener_det.merged Generated BTS_Tests.TC_vgcs_talker_est_rel.merged Generated BTS_Tests.TC_vgcs_talker_fail.merged Generated BTS_Tests.TC_vgcs_uplink_free_and_busy.merged Removing Input log files !!! + exit 0 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-trxcon + docker kill jenkins-ttcn3-bts-test-asan-15-trxcon jenkins-ttcn3-bts-test-asan-15-trxcon + docker wait jenkins-ttcn3-bts-test-asan-15-trxcon 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-fake_trx + docker kill jenkins-ttcn3-bts-test-asan-15-fake_trx jenkins-ttcn3-bts-test-asan-15-fake_trx + docker wait jenkins-ttcn3-bts-test-asan-15-fake_trx 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-bsc + docker kill jenkins-ttcn3-bts-test-asan-15-bsc jenkins-ttcn3-bts-test-asan-15-bsc + docker wait jenkins-ttcn3-bts-test-asan-15-bsc 137 + docker_kill_wait jenkins-ttcn3-bts-test-asan-15-bts + docker kill jenkins-ttcn3-bts-test-asan-15-bts jenkins-ttcn3-bts-test-asan-15-bts + docker wait jenkins-ttcn3-bts-test-asan-15-bts 137 + clean_up_common + set +e + set +x ### Clean up ### + trap - EXIT INT TERM 0 + type clean_up + clean_up + test_config_enabled hopping + local config=hopping + local i + local valid=0 + [ hopping = generic ] + [ hopping = virtphy ] + [ hopping = oml ] + [ hopping = hopping ] + valid=1 + break + [ 1 != 1 ] + [ -z generic oml hopping ] + [ hopping = generic ] + [ hopping = oml ] + [ hopping = hopping ] + return 0 + sed -i s/classname='\([^']\+\)'/classname='\1:hopping'/g /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/junit-xml-hopping-21.log + network_clean + + docker network inspect ttcn3-bts-test-108 grep Name + cut -d+ : -f2 awk -F" NR>1{print $2} + local containers= + [ -n ] + network_remove + set +x Removing network ttcn3-bts-test-108 + docker network remove ttcn3-bts-test-108 ttcn3-bts-test-108 + rm -rf /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/unix + fix_perms + set +x Fixing permissions + id -u + id -g + docker run --rm -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs:/data -v /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/_cache:/cache --name jenkins-ttcn3-bts-test-asan-15-cleaner debian:bookworm sh -e -x -c chmod -R a+rX /data/ /cache/ chown -R 1000:1000 /data /cache + chmod -R a+rX /data/ /cache/ + chown -R 1000:1000 /data /cache + collect_logs + cat /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-generic/junit-xml-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-hopping/junit-xml-hopping-21.log /home/osmocom-build/jenkins/workspace/ttcn3-bts-test-asan/logs/bts-tester-oml/junit-xml-oml-21.log Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" BTS_Tests.ttcn:9275 BTS_Tests control part BTS_Tests.ttcn:3635 TC_meas_res_speech_tchf testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" BTS_Tests.ttcn:9276 BTS_Tests control part BTS_Tests.ttcn:3639 TC_meas_res_speech_tchf_facch testcase Power level := 15does not match the signaled (RSL) power level := 0 BTS_Tests.ttcn:9292 BTS_Tests control part BTS_Tests.ttcn:3892 TC_rsl_ms_pwr_ctrl testcase "BTS_Tests.ttcn:4410 : Expected (869 .. 978) pagings but have 862" BTS_Tests.ttcn:9307 BTS_Tests control part BTS_Tests.ttcn:4410 TC_paging_tmsi_200percent testcase CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase Number of TDMA Frames (1061) not matching (1063 .. 1103) BTS_Tests.ttcn:9354 BTS_Tests control part BTS_Tests.ttcn:6113 TC_pcu_time_ind testcase Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase Timeout waiting for NCH message BTS_Tests_ASCI.ttcn:415 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:127 TC_vbs_notification testcase "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" BTS_Tests_ASCI.ttcn:417 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:288 TC_vgcs_talker_fail testcase "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" BTS_Tests_ASCI.ttcn:418 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:331 TC_vgcs_talker_est_rel testcase Timeout waiting for RSL Listener Detect. BTS_Tests_ASCI.ttcn:419 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:411 TC_vgcs_listener_det testcase Rx unexpected Downlink DCCH (before handover RACH): { header := { msg_type := L1CTL_DATA_IND (3), flags := { padding := '0000000'B, f_done := false }, padding := '0000'O }, ul_info := omit, dl_info := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 }, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, arfcn := { pcs := false, uplink := false, spare := '0000'B, arfcn := 871 }, frame_nr := 130, rx_level := 0, snr := 0, num_biterr := 0, fire_crc := 0 }, payload := { data_ind := { payload := '0303012B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O } } } BTS_Tests.ttcn:9271 BTS_Tests control part BTS_Tests.ttcn:2133 TC_ho_physical_info testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9277 BTS_Tests control part BTS_Tests.ttcn:3659 TC_meas_res_speech_tchh testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9278 BTS_Tests control part BTS_Tests.ttcn:3663 TC_meas_res_speech_tchh_facch testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 41, reserved1 := '00'B, rxlev_s_u := 37, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 122, toa256_min := 0, toa256_max := 256, toa256_std_dev := 92 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9279 BTS_Tests control part BTS_Tests.ttcn:3678 TC_meas_res_speech_tchh_toa256 testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 10, reserved1 := '00'B, rxlev_s_u := 10, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 15, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '0615367601C0000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 65 } } } }" BTS_Tests.ttcn:9280 BTS_Tests control part BTS_Tests.ttcn:3692 TC_meas_res_sign_tchf testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9281 BTS_Tests control part BTS_Tests.ttcn:3705 TC_meas_res_sign_tchh testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch8 := { tag := '01'B, sub_chan := 0 } }, tn := 6 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 3 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 3, rfu := '0'B, dtx_d := false, rxlev_f_u := 0, reserved1 := '00'B, rxlev_s_u := 0, reserved2 := '00'B, rxq_f_u := 7, rxq_s_u := 7, supp_meas_info := omit } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 64 } } } }" BTS_Tests.ttcn:9283 BTS_Tests control part BTS_Tests.ttcn:3731 TC_meas_res_sign_sdcch8 testcase "BTS_Tests.ttcn:2443 : Received unspecific MEAS RES { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_MEAS_RES (40), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_MEAS_RES_NR (27), body := { meas_res_nr := 1 } }, { iei := RSL_IE_UPLINK_MEAS (25), body := { uplink_meas := { len := 11, rfu := '0'B, dtx_d := false, rxlev_f_u := 42, reserved1 := '00'B, rxlev_s_u := 42, reserved2 := '00'B, rxq_f_u := 0, rxq_s_u := 0, supp_meas_info := { toa256_mean := 108, toa256_min := 0, toa256_max := 256, toa256_std_dev := 68 } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_L1_INFO (10), body := { l1_info := { ms_power_lvl := 7, fpc := false, reserved := 0, actual_ta := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 18, payload := '06150A0A0000000000000000000000000000'O } } }, { iei := RSL_IE_MS_TIMING_OFFSET (37), body := { ms_timing_offset := 63 } } } }" BTS_Tests.ttcn:9284 BTS_Tests control part BTS_Tests.ttcn:3745 TC_meas_res_sign_tchh_toa256 testcase CRCX ACK indicates nonsense addr "0.0.0.0" BTS_Tests.ttcn:9324 BTS_Tests control part BTS_Tests.ttcn:5292 TC_ipa_crcx_ack_addr testcase Timeout waiting for L1CTL_RACH_CONF BTS_Tests.ttcn:9345 BTS_Tests control part BTS_Tests.ttcn:5742 TC_pcu_ptcch testcase "BTS_Tests.ttcn:5991 : Timeout waiting for PCUIF_DATA.ind" BTS_Tests.ttcn:9352 BTS_Tests control part BTS_Tests.ttcn:6019 TC_pcu_data_ind_lqual_cb testcase Number of TDMA Frames (1061) not matching (1063 .. 1103) BTS_Tests.ttcn:9354 BTS_Tests control part BTS_Tests.ttcn:6113 TC_pcu_time_ind testcase Number of TDMA Frames (1062) not matching (1063 .. 1103) BTS_Tests.ttcn:9355 BTS_Tests control part BTS_Tests.ttcn:6184 TC_pcu_rts_req testcase Could not connect IPA socket from "" port 0 to "127.0.0.1" port 4249; check your configuration BTS_Tests.ttcn:9356 BTS_Tests control part BTS_Tests.ttcn:6206 TC_pcu_oml_alert testcase "BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9394 BTS_Tests control part BTS_Tests.ttcn:7422 TC_rll_rel_ind_ACCH_0 testcase "BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9395 BTS_Tests control part BTS_Tests.ttcn:7434 TC_rll_rel_ind_ACCH_3 testcase "BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests.ttcn:9396 BTS_Tests control part BTS_Tests.ttcn:7471 TC_rll_rel_req testcase Received DTX TCH fill frame with bad frame number: 175 (mod 104: 71) (mod 26: 19) BTS_Tests.ttcn:9418 BTS_Tests control part BTS_Tests.ttcn:8056 TC_tch_sign_l2_fill_frame_dtxd testcase Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9423 BTS_Tests control part BTS_Tests.ttcn:8193 TC_ms_pwr_ctrl_constant testcase Unexpected MS Power level change: 7 -> 13 BTS_Tests.ttcn:9424 BTS_Tests control part BTS_Tests.ttcn:8260 TC_ms_pwr_ctrl_pf_ewma testcase "BTS_Tests.ttcn:789 : Rx unexpected RSL port message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_NACK (35), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_Bm_ACCH (1) }, tn := 1 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 1, e := 0, cause := RSL_ERR_SERV_OPT_UNAVAIL (63), cause_ext := omit } } } } }" BTS_Tests.ttcn:9433 BTS_Tests control part BTS_Tests.ttcn:8699 TC_data_rtp_tchf144 testcase Timeout waiting for matching Downlink data frame BTS_Tests.ttcn:9438 BTS_Tests control part BTS_Tests.ttcn:8803 TC_data_rtp_tchh24 testcase Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9442 BTS_Tests control part BTS_Tests.ttcn:9083 TC_acch_overpower_rxqual_thresh testcase Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9443 BTS_Tests control part BTS_Tests.ttcn:9124 TC_acch_overpower_rxqual_thresh_dtx testcase Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9444 BTS_Tests control part BTS_Tests.ttcn:9156 TC_acch_overpower_always_on_facch testcase Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9445 BTS_Tests control part BTS_Tests.ttcn:9188 TC_acch_overpower_always_on_sacch testcase Timeout waiting for L1CTL DATA.ind BTS_Tests.ttcn:9446 BTS_Tests control part BTS_Tests.ttcn:9219 TC_acch_overpower_limit testcase Received RSL ERROR IND <unbound> BTS_Tests_LAPDm.ttcn:1484 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:579 TC_iframe_seq_and_ack testcase Received RSL ERROR IND <unbound> BTS_Tests_LAPDm.ttcn:1485 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:685 TC_iframe_timer_recovery testcase "BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1486 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:768 TC_ns_seq_error testcase "BTS_Tests_LAPDm.ttcn:909 : Missing LAPDm_RR RSP" BTS_Tests_LAPDm.ttcn:1488 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:961 TC_rec_invalid_frame testcase "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1489 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1088 TC_segm_concat_dcch testcase "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := true, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1490 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1093 TC_segm_concat_sacch testcase Missing RSL RLL ERROR INDICATION BTS_Tests_LAPDm.ttcn:1491 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1182 TC_t200_n200 testcase Timeout waiting for RR or REJ BTS_Tests_LAPDm.ttcn:1492 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1239 TC_rr_response_frame_loss testcase "BTS_Tests.ttcn:727 : Tguard timeout" BTS_Tests_LAPDm.ttcn:1494 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1341 TC_sabm_incorrect_c testcase "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { u := { u := '011'B, p_f := true, u2 := '00'B, spare := '11'B } }, len := 5, m := false, el := 1, payload := '5461ABB7FC'O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"+++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1495 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1403 TC_normal_reestablishment testcase "BTS_Tests_LAPDm.ttcn:1023 : received unexpected LAPDm { sacch := false, sapi := 0, lapdm := { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := false, ea := true }, ctrl := { s := { n_r := 1, p_f := false, s := '10'B, spare := '01'B } }, len := 0, m := false, el := 1, payload := ''O, padding := '2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B2B'O (\"++++++++++++++++++++\") } } }" BTS_Tests_LAPDm.ttcn:1496 BTS_Tests_LAPDm control part BTS_Tests_LAPDm.ttcn:1470 TC_normal_reestablishment_state_unacked testcase Timeout waiting for NCH message BTS_Tests_ASCI.ttcn:415 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:127 TC_vbs_notification testcase "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" BTS_Tests_ASCI.ttcn:417 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:288 TC_vgcs_talker_fail testcase "BTS_Tests.ttcn:7353 : Timeout waiting for LAPDm { ab := { addr := { spare := '0'B, lpd := 0, sapi := 0, c_r := true, ea := true }, ctrl := { u := { u := '000'B, p_f := false, u2 := '00'B, spare := '11'B } }, len := ?, m := false, el := 1, payload := '0609C0*'O, padding := ? } }" BTS_Tests_ASCI.ttcn:418 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:331 TC_vgcs_talker_est_rel testcase Timeout waiting for RSL Listener Detect. BTS_Tests_ASCI.ttcn:419 BTS_Tests_ASCI control part BTS_Tests_ASCI.ttcn:411 TC_vgcs_listener_det testcase Recording test results [Checks API] No suitable checks publisher found. Build step 'Publish JUnit test result report' changed build result to UNSTABLE Archiving artifacts Finished: UNSTABLE